База научных работ, курсовых, рефератов! Lcbclan.ru Курсовые, рефераты, скачать реферат, лекции, дипломные работы

Дипломная работа: Разработка светодиодной матрицы

Дипломная работа: Разработка светодиодной матрицы

РЕФЕРАТ

Пояснительная записка к дипломному проекту: 92 страницы, 15 рисунков, 29 таблиц, 24 источника, 5 приложений, 3 листа чертежей формата А1.

Объект исследований: разработка светодиодной матрицы.

Предмет исследования: светодиодная матрица.

В первом разделе рассмотрены общие принципы разработки устройств на микроконтроллерах и внедрения их в производство, принцип действия матриц на основе светодиодов.

Во втором разделе выполнена разработка структурной, функциональной и принципиальной схем устройства управления светодиодной матрицей с использованием микроконтроллера, разработаны алгоритм и ПО микроконтроллера, осуществлен выбор элементной базы.

В третьем разделе выполнен экономический расчет себестоимости светодиодной матрицы, произведено сравнение с устройствами-аналогами.

В четвертом разделе проведены расчеты вентиляции, природного и искусственного освещения, уровня шума и полученные значения сопоставлены с нормативными.

АЛГОРИТМ, КВАРЦЕВЫЙ РЕЗОНАТОР, МИКРОКОНТРОЛЛЕР, МИКРОПРОЦЕССОРНАЯ СИСТЕМА, СВЕТОДИОД, СВЕТОДИОДНАЯ МАТРИЦА


СОДЕРЖАНИЕ

ПЕРЕЧЕНЬ УСЛОВНЫХ ОБОЗНАЧЕНИЙ, СИМВОЛОВ, ЕДИНИЦ, СОКРАЩЕНИЙ И ТЕРМИНОВ

ВВЕДЕНИЕ

РАЗДЕЛ 1 ТЕОРЕТИЧЕСКИЕ ОСНОВЫ РАЗРАБОТКИ

1.1 Разработка микропроцессорной системы на основе микроконтроллера

1.1.1 Основные этапы разработки

1.1.2 Разработка и отладка аппаратных средств

1.1.3 Разработка и отладка программного обеспечения

1.1.4 Методы и средства совместной отладки аппаратных и программных средств

1.2 Светодиодные матрицы

РАЗДЕЛ 2 РАЗРАБОТКА СВЕТОДИОДНОЙ МАТРИЦЫ

2.1 Постановка задачи

2.2 Разработка структурной схемы устройства и функциональной спецификации

2.3 Аппаратные средства микроконтроллеров серии PIC16F628А

2.4 Разработка функциональной схемы устройства

Разработка алгоритма управления

Разработка программного обеспечения микроконтроллера

Выбор, описание и расчеты элементной базы

2.8 Разработка схемы электрической принципиальной

3 ТЕХНИКО-ЭКОНОМИЧЕСКОЕ ОБОСНОВАНИЕ ОБЪЕКТА

РАЗРАБОТКИ

3.1 Расчет расходов на ПО, которое разрабатывается

3.2 Расчет расходов на создание ПО

3.3 Расчет стоимости разработки конструкторской документации и сборки устройства

3.4 Расчет расходов на стадии производства изделия

3.5 Анализ устройств-аналогов

РАЗДЕЛ 4 ОХРАНА ТРУДА

4.1 Требования к производственным помещениям

4.1.1 Окраска и коэффициенты отражения

4.1.2 Освещение

4.1.3 Параметры микроклимата

4.1.4 Шум и вибрация

4.1.5 Электромагнитное и ионизирующее излучения

4.2 Эргономические требования к рабочему месту

4.3 Режим труда

4.4 Расчет освещенности

4.5 Расчет вентиляции

4.6 Расчет уровня шума

ВЫВОДЫ

ПЕРЕЧЕНЬ ССЫЛОК

ПРИЛОЖЕНИЯ


ПЕРЕЧЕНЬ УСЛОВНЫХ ОБОЗНАЧЕНИЙ, СИМВОЛОВ, ЕДИНИЦ, СОКРАЩЕНИЙ И ТЕРМИНОВ

АЦП – аналого-цифровой преобразователь

КМОП – комплементарная логика на транзисторах металл-оксид-полупроводник

МК – микроконтроллер

МПС – микропроцессорная система

ОЗУ – оперативное запоминающее устройство

ПЗУ – постоянное запоминающее устройство

ЦПУ – центральное процессорное устройство

ШИМ – широтно импульсная модуляция


ВВЕДЕНИЕ

Микропроцессоры и производные от них — микроконтроллеры — являются широко распространенным и при этом незаметным элементом инфраструктуры современного общества, основанного на электронике и коммуникациях. Исследования, проведенные в 2008 году, показали, что в каждом доме незаметно для нас «живет» около 100 микроконтроллеров и микропроцессоров.

Каждый год продается около четырех миллиардов подобных изделий, предназначенных для реализации «мозгов» разнообразных «умных» устройств, начиная от интеллектуальных таймеров для яйцеварок и заканчивая системами управления самолетом. Подавляющее же большинство продаж приходится на дешевые микроконтроллеры, встраиваемые в специализированные электронные устройства, такие как смарт-карты. Причем если основной задачей микропроцессоров является обеспечение собственно вычислительной мощности, то во втором случае акцент смещается в сторону объединения на одном кристалле центрального процессора, памяти и устройств ввода/вывода. Такая интегрированная вычислительная система называется микроконтроллером.

Светодиодные матрицы широко используются в промышленности. Светодиодные матрицы применяются в светофорах, устройствах бытового освещения и т.д. Высокие эксплуатационные параметры светодиодных матриц - оптическая мощность излучения, коэффициент преобразования электрической энергии в световую, высокая надежность и низкая себестоимость делают эти источники света весьма перспективными.

Тема дипломной работы «Разработка светодиодной матрицы», которая будет предметом исследования.

Цель дипломной работы – разработать светодиодную матрицу для световых эффектов, которая будет управляться микроконтроллером.

Тема является актуальной, т.к. имеет широкое применение.


РАЗДЕЛ 1 ТЕОРЕТИЧЕСКИЕ ОСНОВЫ РАЗРАБОТКИ

1.1 Разработка микропроцессорной системы на основе микроконтроллера

1.1.1 Основные этапы разработки

Технология проектирования контроллеров на базе МК полностью соответствует принципу неразрывного проектирования и отладки аппаратных и программных средств, принятому в микропроцессорной технике. Это означает, что перед разработчиком такого рода МПС стоит задача реализации полного цикла проектирования, начиная от разработки алгоритма функционирования и заканчивая комплексными испытаниями в составе изделия, а, возможно, и сопровождением при производстве. Сложившаяся к настоящему времени методология проектирования контроллеров может быть представлена так, как показано на рис. 1.1.

В техническом задании формулируются требования к контроллеру с точки зрения реализации определенной функции управления. Техническое задание включает в себя набор требований, который определяет, что пользователь хочет от контроллера и что разрабатываемый прибор должен делать.

На основании требований пользователя составляется функциональная спецификация, которая определяет функции, выполняемые контроллером для пользователя после завершения проектирования, уточняя тем самым, насколько устройство соответствует предъявляемым требованиям. Она включает в себя описания форматов данных, как на входе, так и на выходе, а также внешние условия, управляющие действиями контроллера.


Рисунок 1.1- Основные этапы разработки контроллера

Этап разработки алгоритма управления является наиболее ответственным, поскольку ошибки данного этапа обычно обнаруживаются только при испытаниях законченного изделия и приводят к необходимости дорогостоящей переработки всего устройства.

При этом необходимо исходить из того, что максимальное использование аппаратных средств упрощает разработку и обеспечивает высокое быстродействие контроллера в целом, но сопровождается, как правило, увеличением стоимости и потребляемой мощности. Связано это с тем, что увеличение доли аппаратных средств достигается либо путем выбора более сложного МК, либо путем использования специализированных интерфейсных схем.

При выборе типа МК учитываются следующие основные характеристики:

- разрядность;

- быстродействие;

- набор команд и способов адресации;

- требования к источнику питания и потребляемая мощность в различных режимах;

- объем ПЗУ программ и ОЗУ данных;

- возможности расширения памяти программ и данных;

- возможность перепрограммирования в составе устройства;

- наличие и надежность средств защиты внутренней информации;

- стоимость в различных вариантах исполнения;

- наличие полной документации;

- наличие и доступность эффективных средств программирования и отладки МК;

-количество и доступность каналов поставки, возможность замены изделиями других фирм.

Список этот не является исчерпывающим, поскольку специфика проектируемого устройства может перенести акцент требований на другие параметры МК. Определяющими могут оказаться, например, требования к точности внутреннего компаратора напряжений или наличие большого числа выходных каналов ШИМ.

Номенклатура выпускаемых в настоящее время МК исчисляется тысячами типов изделий различных фирм. Современная стратегия модульного проектирования обеспечивает потребителя разнообразием моделей МК с одним и тем же процессорным ядром. Такое структурное разнообразие открывает перед разработчиком возможность выбора оптимального МК, не имеющего функциональной избыточности, что минимизирует стоимость комплектующих элементов.

Однако для реализации на практике возможности выбора оптимального МК необходима достаточно глубокая проработка алгоритма управления, оценка объема исполняемой программы и числа линий сопряжения с объектом на этапе выбора МК. Допущенные на данном этапе просчеты могут впоследствии привести к необходимости смены модели МК и повторной разводки печатной платы макета контроллера. В таких условиях целесообразно выполнять предварительное моделирование основных элементов прикладной программы с использованием программно-логической модели выбранного МК.

При отсутствии МК, обеспечивающего требуемые по ТЗ характеристики проектируемого контроллера, необходим возврат к этапу разработки алгоритма управления и пересмотр выбранного соотношения между объемом программного обеспечения и аппаратных средств. Отсутствие подходящего МК чаще всего означает, что для реализации необходимого объема вычислений (алгоритмов управления) за отведенное время нужна дополнительная аппаратная поддержка. Отрицательный результат поиска МК с требуемыми характеристиками может быть связан также с необходимостью обслуживания большого числа объектов управления. В этом случае возможно использование внешних схем обрамления МК.

На этапе разработки структуры контроллера окончательно определяется состав имеющихся и подлежащих разработке аппаратных модулей, протоколы обмена между модулями, типы разъемов. Выполняется предварительная проработка конструкции контроллера.

Возможность перераспределения функций между аппаратными и программными средствами на данном этапе существует, но она ограничена характеристиками уже выбранного МК. При этом необходимо иметь в виду, что современные МК выпускаются, как правило, сериями (семействами) контроллеров, совместимых программно и конструктивно, но различающихся по своим возможностям (объем памяти, набор периферийных устройств и т.д.). Это дает возможность выбора структуры контроллера с целью поиска наиболее оптимального варианта реализации.

1.1.2 Разработка и отладка аппаратных средств

После разработки структуры аппаратных и программных средств дальнейшая работа над контроллером может быть распараллелена. Разработка аппаратных средств включает в себя разработку общей принципиальной схемы, разводку топологии плат, монтаж макета и его автономную отладку. Время выполнения этих этапов зависит от имеющегося набора апробированных функционально-топологических модулей, опыта и квалификации разработчика. На этапе ввода принципиальной схемы и разработки топологии используются, как правило, распространенные системы проектирования типа "ACCEL EDA" или "OrCad".

Автономная отладка аппаратуры на основе МК с открытой архитектурой предполагает контроль состояния многоразрядных магистралей адреса и данных с целью проверки правильности обращения к внешним ресурсам памяти и периферийным устройствам. Закрытая архитектура МК предполагает реализацию большинства функций разрабатываемого устройства внутренними средствами микроконтроллера. Поэтому разрабатываемый контроллер будет иметь малое число периферийных ИС, а обмен с ними будет идти преимущественно по последовательным интерфейсам. Здесь на первый план выйдут вопросы согласования по нагрузочной способности параллельных портов МК и отладка алгоритмов обмена по последовательным каналам.

1.1.3 Разработка и отладка программного обеспечения

Содержание этапов разработки программного обеспечения, его трансляции и отладки на моделях существенно зависит от используемых системных средств. В настоящее время ресурсы 8-разрядных МК достаточны для поддержки программирования на языках высокого уровня. Это позволяет использовать все преимущества структурного программирования, разрабатывать программное обеспечение с использованием раздельно транслируемых модулей. Одновременно продолжают широко использоваться языки низкого уровня типа ассемблера, особенно при необходимости обеспечения контролируемых интервалов времени. Задачи предварительной обработки данных часто требуют использования вычислений с плавающей точкой, трансцендентных функций.

В настоящее время самым мощным средством разработки программного обеспечения для МК являются интегрированные среды разработки, имеющие в своем составе менеджер проектов, текстовый редактор и симулятор, а также допускающие подключение компиляторов языков высокого уровня типа Паскаль или Си. При этом необходимо иметь в виду, что архитектура многих 8-разрядных МК вследствие малого количества ресурсов, страничного распределения памяти, неудобной индексной адресации и некоторых других архитектурных ограничений не обеспечивает компилятору возможности генерировать эффективный код. Для обхода этих ограничений разработчики ряда компиляторов вынуждены были перекладывать на пользователя заботу об оптимизации кода программы.

Для проверки и отладки программного обеспечения используются так называемые программные симуляторы, предоставляющие пользователю возможность выполнять разработанную программу на программно-логической модели МК.

Загрузив программу в симулятор, пользователь имеет возможность запускать ее в пошаговом или непрерывном режимах, задавать условные или безусловные точки останова, контролировать и свободно модифицировать содержимое ячеек памяти и регистров симулируемого МК.


1.1.4 Методы и средства совместной отладки аппаратных и программных средств

Этап совместной отладки аппаратных и программных средств в реальном масштабе времени является самым трудоемким и требует использования инструментальных средств отладки. К числу основных инструментальных средств отладки относятся:

- внутрисхемные эмуляторы;

- платы развития (оценочные платы);

- мониторы отладки;

- эмуляторы ПЗУ.

Эмулятор ПЗУ – программно-аппаратное средство, позволяющее замещать ПЗУ на отлаживаемой плате, и подставляющее вместо него ОЗУ, в которое может быть загружена программа с компьютера через один из стандартных каналов связи. Это устройство позволяет пользователю избежать многократных циклов перепрограммирования ПЗУ. Эмулятор ПЗУ нужен только для МК, которые могут обращаться к внешней памяти программ. Это устройство сравнимо по сложности и по стоимости с платами развития и имеет одно большое достоинство: универсальность. Эмулятор ПЗУ может работать с любыми типами МК.

Эмулируемая память доступна для просмотра и модификации, но контроль над внутренними управляющими регистрами МК был до недавнего времени невозможен.

В последнее время появились модели интеллектуальных эмуляторов ПЗУ, которые позволяют "заглядывать" внутрь МК на плате пользователя.

Этап совместной отладки аппаратных и программных средств в реальном масштабе времени завершается, когда аппаратура и программное обеспечение совместно обеспечивают выполнение всех шагов алгоритма работы системы. В конце этапа отлаженная программа заносится с помощью программатора в энергонезависимую память МК, и проверяется работа контроллера без эмулятора.

1.2 Светодиодные матрицы

Еще в 1907 году было впервые отмечено слабое свечение, испускаемое карбидокремниевыми кристаллами вследствие неизвестных тогда электронных превращений. В 1923 году наш соотечественник О.В.Лосев отмечал это явление во время проводимых им радиотехнических исследований с полупроводниковыми детекторами, однако интенсивность наблюдаемых излучений была столь незначительной, что научная общественность до поры до времени всерьез не интересовалась этим феноменом. Только в 1962 году группа инженеров под руководством Генри Холоньяка из General Electric продемонстрировала работу первого светодиода, а спустя шесть лет красные светодиоды появились на рынке.

Светодиод – это полупроводниковый прибор с p-n переходом, который излучает фотоны при прямом смещении. Эффект излучения света называется инжектированной электролюминесценцией и происходит, когда неосновные носители заряда рекобинируют с носителями противоположного типа в запрещенной зоне. Длина волны излучаемого света определяется в основном выбором используемых полупроводниковых материалов.

Не все инжектированные неосновные носители рекомбинируют с излучением кванта света даже в идеальном p-n переходе. Безизлучательная рекомбинация, вызванная дефектами и дислокациями в полупроводнике, может дать увеличение разброса в полезной эмиссии в практически идентичных светодиодах. На практике это означает то, что выпущенная партия светодиодов сортируется и разделяется по группам в зависимости от интенсивности излучения и других параметров. Светодиодные чипы выращиваются подобно кремниевым интегральным микросхемам и разрезаются на кристаллы. Размер кристалла для светодиодов лежит в диапазоне от 0,18 до 1мм (рис. 1.2).

Базовая структура светодиодного индикатора состоит из полупроводникового кристалла, рамки с внешними выводами, на которой размещен кристалл, и герметизирующей эпоксидной смолы, которая окружает и защищает кристалл, а также рассеивает свет (формирует диаграмму направленности) (рис. 1.3). Кристалл приклеивается токопроводящей эпоксидной смолой ко дну рамки ,называемой лункой. Лунка является первичной оптической системой для кристалла и согласует распределение светового потока от его граней, с последующим преломлением линзы из эпоксидной смолы. Верхний контакт кристалла соединен проводом с другим выводом рамки.

Рисунок 1.2 - Типичный кристалл AlInGaP

Рисунок 1.3 - Типичный светодиод и его конструкция в разрезе

Механическая конструкция светодиода определяет распределение света и диаграмму направленности излучения в пространстве. Узкая диаграмма направленности (рис. 1.4) обеспечивает большую силу света в осевом направлении, но небольшой угол обзора. Тот же кристалл может быть смонтирован так, чтобы получить широкий угол обзора, но интенсивность в осевом направлении будет ниже пропорционально углу излучения. Сверхяркие светодиоды с углом обзора от 15° до 30° по уровню 0,5 применяются для информационных панелей, расположенных прямо перед наблюдателем, а светодиоды с широким углом обзора применяются в индикаторах для широкого обзора или приборных досках.       

Рисунок 1.4 - Светодиодный индикатор с узкой диаграммой направленности

Известный 7-сегментный цифровой индикатор в действительности является 8-сегментным индикатором, так как включает в себя десятичную точку. Менее известные «звездочные» алфавитно-цифровые индикаторы таким же образом обозначаются, как 14-сегментные и 16-сегментые индикаторы, вновь не учитывая десятичную точку. Эти индикаторы обеспечивают экономичное решение для отображения 26 букв латинского алфавита в верхнем регистре, а также цифр от 0 до 9. Разница между 14-сегментными и 16-сегментными индикаторами лишь в том, что у 16-сегментного индикатора верхний и нижний сегмент разбиты на два, улучшая внешний вид некоторых букв (Рис. 1.5).

Светодиодная матрица 5х7 является еще более универсальной, позволяя отображать латинский алфавит в верхнем и нижнем регистре, а также множество символов. Различие в качестве отображения показано на рис. 7, где сравниваются символы, отображаемые матрицей 5х7 и 16-сегментным индикатором.

Рисунок 1.5 - Светодиодные 7-ми, 14-ти и 16-сегментные индикаторы и светодиодная матрица 5х7

Светодиодная матрица 5х7 является еще более универсальной, позволяя отображать латинский алфавит в верхнем и нижнем регистре, а также множество символов. Различие в качестве отображения показано на рис. 1.6, где сравниваются символы, отображаемые матрицей 5х7 и 16-сегментным индикатором.

Рисунок 1.6 - Сравнение матрицы 5 x 7 и "звездочного" индикатора

Большинство светодиодных цифровых и буквенно-цифровых индикаторов в действительности являются гибридными, объединяя множество светодиодных индикаторов в одном корпусе. Некоторые очень маленькие цифровые дисплеи являются действительно монолитными (например калькуляторы с очень маленькими цифрами и линзочкой, которые были популярными в 70-х). В любом из двух случаев, контур каждого сегмента формируется рефлектором и световой трубкой, а не самим светодиодным кристаллом. Небольшие дисплеи используют один кристалл на сегмент, в то время как большие дисплеи используют 2 или более кристаллов на сегмент, эффективно излучая свет и обеспечивая приемлемую однородность яркости по всему сегменту.

В процессе производства, кристаллы монтируются либо на рамку, либо на печатную плату и соединяются проводами с внешними выводами. Кристаллы монтируются с применением токопроводящей пасты, так как подложка является одним из двух выводов диода (рис. 1.7). Внутренняя разводка индикаторов обычно объединяет либо катоды, либо аноды кристаллов вместе, уменьшая число внешних выводов. В результате индикаторы подразделяются на индикаторы с общим анодом и индикаторы с общим катодом (рис. 1.8)

Рисунок 1.7 - Установка кристалла для формирования сегмента

Метод монтажа кристаллов на рамке подобен тому, который используется при производстве интегральных схем. Рамка выполнена из посеребренной стали, обеспечивая хороший теплоотвод и светоотражение. Рефлекторный канал, формирующий световую трубку для каждого сегмента, заполнен эпоксидной смолой, обеспечивая механическую прочность и защиту от окружающей среды.

Более дешевый метод использует печатную подложку вместо рамки. Индикаторы, выполненные по такому методу, используются обычно для производства многоразрядных дисплеев, например для часов. При использовании этого метода, индикатор не заполняется эпоксидной смолой, что уменьшает стоимость, но приводит к деградации, вызванной загрязнением.


Рисунок 1.8 - Светодиодные цифровые индикаторы с общим анодом и с общим катодом

Электрические характеристики светодиодов подобны другим полупроводниковым диодам. Прямое напряжение светодиодов различно для различных структур p-n переходов, используемых для получения излучения разных цветов (рис. 1.9). Прямое напряжение светодиода обратно пропорционально росту температуры окружающей среды. Подобно всем полупроводниковым приборам, номинальные характеристики светодиодов должны быть снижены при высоких рабочих температурах.

Оптические характеристики светодиода также сильно зависят от температуры. Во-первых, световой поток, излучаемый светодиодом, падает при повышении температуры p-n перехода. Это происходит из-за возрастания вероятности безизлучательной рекомбинации дырок и электронов, которая не вносит вклада в излучение света. Кроме того, длина волны излучаемого света также изменяется с температурой, в основном из-за изменения ширины запрещенной зоны.


Рис. 1.9 - Изменение прямого напряжения светодиода от тока и излучаемого цвета

Драйверы светодиодных индикаторов – статические и мультиплексные.

Самый простой способ управления светодиодами сегментов индикатора – управлять каждым светодиодом, используя отдельный резистор или управляя прямым током. Эта техника управления называется статической, так как ток, протекающий через светодиод, непрерывен. Статическое управление применяется в основном для небольшого числа светодиодов, например для 2-х 7-сегментных цифр. Высокоэкономичные светодиоды могут управляться током в 2 мА, который может быть взят с выходных портов микроконтроллеров.

Если необходимо управлять множеством сегментов, статическое управление становится неэкономичным – 1 драйвер на 1 светодиод. Мультиплексное или импульсное управление снижает число управляющих соединений, используя стробирование небольшого числа сегментов (обычно одну цифру). Стробирование происходит с большой частотой, так что человеческий глаз воспринимает изображение как непрерывное. Однако при этом методе управления светодиодам требуется больший ток для компенсации рабочего цикла (сохранения яркости).

Преимуществом импульсного управления является то, что человеческий глаз ведет себя, отчасти, как интегрирующий фотометр, а отчасти как пиковый фотометр. В результате человеческий глаз воспринимает быстро пульсирующий свет где-то между пиком и средним значением яркости.

Эффективность светодиода обычно возрастает при увеличении прямого тока, при условии постоянной температуры PN перехода. Но это не всегда так и характеристики светодиода должны быть тщательно изучены (и сравнены) при выборе оптимального пикового тока (рис. 1.10).

Рисунок 1.10 - Зависимость светового выхода от прямого тока

В стандартном варианте, в режиме мультиплексирования используется отдельный вывод общих катодов для каждой цифры, в то время как все аноды объединены для всех цифр. Число требуемых выводов может быть подсчитано как, 1 – для каждой цифры, плюс 1 - для каждого сегмента цифры. Более экономичная схема использования выводов в режиме мультиплексирования основана на том, что используется только один вывод. Поскольку на вывод светодиода попеременно подается сигнал то от цифры, то от сегмента, то n выходов может использоваться для управления n цифрами и n-1 сегментами. Такой подход задан в светодиодном драйвере Maxim MAX6951 для управления 8-ю цифрами на всего 9-ти ножках.

РАЗДЕЛ 2 РАЗРАБОТКА СВЕТОДИОДНОЙ МАТРИЦЫ

2.1 Постановка задачи

Требуется разработать светодиодную матрицу, которая будет использоваться в праздничные и торжественные дни, на дискотеках, создавая различные световые эффекты.

Разработка устройства будет производиться с учётом следующих требований:

- простота схемы (минимальное количество компонентов);

- устойчивость к изменениям напряжения, долговечность;

- многообразие световых эффектов;

- низкое энергопотребление.

2.2 Разработка структурной схемы устройства и функциональной спецификации

Разработаем структурную схему светодиодной матрицы для создания световых эффектов (Рисунок 2.1).

Функциональная спецификация светодиодной матрицы:

1.Входы:

Электропитание МК (ИП).

2.Выходы:

Управление светодиодной матрицей 5х5 (D1-D25).

3.Функции:

При включении питания МК по заранее запрограммированной программе последний включает набор светодиодов светодиодной матрицы 5х5, создавая различные эффекты.



Рисунок 2.1 – Структурная схема светодиодной матрицы

Структурная схема имеет следующие условные обозначения:

- ИП – источник питания;

- МК – микроконтроллер;

- СМ 5х5 – светодиодная матрица 5х5.

Для проектирования выберем микроконтроллеры серии PIC16F628A. Выбор связан простотой программирования, относительной дешевизной, надежностью, малым током потребления и рядом других признаков о которых будет сказано ниже и в Приложении А.

2.3 Аппаратные средства микроконтроллеров серии PIC16F628А

PIC16F628A - Основные характеристики

Характеристика RISC ядра:

Тактовая частота от DC до 20МГц

Поддержка прерываний 8-уровневый аппаратный стек

Прямая, косвенная и относительная адресация 35 однословных команд

- все команды выполняются за один машинный цикл, кроме команд ветвления и условия с истинным результатом

Особенности микроконтроллеров:

Внешний и внутренний режимы тактового генератора

- Прецизионный внутренний генератор 4МГц, нестабильность +/- 1%

- Энергосберегающий внутренний генератор 37кГц

- Режим внешнего генератора для подключения кварцевого или керамического резонатора

Режим энергосбережения SLEEP

Программируемые подтягивающие резисторы на входах PORTB

Сторожевой таймер WDT с отдельным генератором

Режим низковольтного программирования

Программирование на плате через последовательный порт (ICSP) (с использованием двух выводов)

Защита кода программы

Сброс по снижению напряжения питания BOR

Сброс по включению питания POR

Таймер включения питания PWRT и таймер запуска генератора OST

Широкий диапазон напряжения питания от 2.0В до 5.5В

Промышленный и расширенный температурный диапазон

Высокая выносливость ячеек FLASH/EEPROM

- 100 000 циклов стирания /записи FLASH памяти программ

- 1 000 000 циклов стирания /записи EEPROM памяти данных

- Период хранения данных FLASH/EEPROM памяти > 100 лет

Характеристики пониженного энергопотребления:

Режим энергосбережения:

- 100нА @ 2.0В (тип.)

Режимы работы:

- 12мкА @ 32кГц, 2.0В (тип.)

- 120мкА @ 1МГц, 2.0В (тип.)

Генератор таймера TMR1:

- 1.2мкА, 32кГц, 2.0В (тип.)

Сторожевой таймер:

- 1мкА @ 2.0В (тип.)

Двухскоростной внутренний генератор:

- Выбор скорости старта 4МГц или 37кГц

- Время выхода из SLEEP режима 3мкс @ 3.0В (тип.)

Периферия:

16 каналов ввода/вывода с индивидуальными битами направления

Сильноточные схемы портов сток/исток, допускающих непосредственное подключение светодиодов

Модуль аналоговых компараторов:

- Два аналоговых компаратора

- Внутренний программируемый источник опорного напряжения

- Внутренний или внешний источник опорного напряжения

- Выходы компараторов могут быть подключены на выводы микроконтроллера

TMR0: 8-разрядный таймер/счетчик с программируемым предделителем

TMR1: 16-разрядный таймер/счетчик с внешним генератором

TMR2: 8-разрядный таймер/счетчик с программируемым предделителем и постделителем

CCP модуль:

- разрешение захвата 16 бит

- разрешение сравнения 16 бит

- 10-разрядный ШИМ

Адресуемый USART модуль

Таблица 2.1 – Сравнительная характеристика микроконтроллеров PIC16F

PICmicro Память программ
(слов) Память данных Портов I/O CCP
(ШИМ) USART Компар. Таймеры 8/16 бит
ОЗУ
(байт) EEPROM
(байт)
PIC16F627A 1024 224 128 16 1 + 2 2/1
PIC16F628A 2048 224 128 16 1 + 2 2/1
PIC16F648A 4096 256 256 16 1 + 2 2/1

Общий вид микроконтроллера PIC16F628A изображен на рисунке 2.2, а наименование выводов на рисунке 2.3.

Рисунок 2.2 - Общий вид микроконтроллера PIC16F628A


Рисунок 2.3 – Расположение выводов микроконтроллера PIC16F628A

Рисунок 2.4 – Структурная схема микроконтроллера PIC16F628A

2.4 Разработка функциональной схемы устройства

Зная тип микроконтроллера, согласно п.1.1 разрабатываем функциональную схему светодиодной матрицы (Рис. 2.5).

Подпись: ИП


 МК «+»

 3,5-5В

Д

С

т

б

5

 

Д

С

т

б

4

 

Д

С

т

б

3

 

Д

С

т

б

2

 

Д

С

т

б

1

 

ДСтр1

 

ДСтр2

 

ДСтр3

 

ДСтр4

 

ДСтр5

 
Подпись: Светодиодная
матрица
5 х 5

 


Рисунок 2.5 – Функциональная схема светодиодной матрицы:

ДСтр1- ДСтр5 – драйвер строки;

ДСтб1- ДСтб1- драйвер столбца.

2.5 Разработка алгоритма управления

Мы рассмотрим два случая световых эффектов для светодиодной матрицы.:

1.  Эффект 1 - движущиеся строки и столбцы;

2.  Универсальная программа для программирования любых световых эффектов. + пример работы - "вращающийся крест".

Для эффекта 1 алгоритм будет иметь вид, представленный на рисунке 2.6.

Рисунок 2.6 – Алгоритм программы эффекта 1 для светодиодной матрицы

Теперь составим алгоритм для универсальной программы (Рис. 2.7).


Рисунок 2.7 – Алгоритм универсальной программы для светодиодной матрицы

Контроллер управляет драйверами строк и столбцов светодиодной матрицы, в качестве которых выступают обычные биполярные транзисторы.

Чтобы подключить строки 1, 2, 3, 4, 5 к шине питания - нужно подать "1" на выходы контроллера RA2, RA3, RA1, RA7, RA6 соответственно, а для того, чтобы подключить столбцы 1, 2, 3, 4, 5 к нулевой шине (к земле) - нужно подать "0" на выходы контроллера RB3, RB4, RB5, RB6, RB7 соответственно.

Для первого и второго варианта основная проблема нашей матрицы в том, что на ней невозможно включить несколько произвольных светодиодов одновременно в разных строках и столбцах. Однако, в одном столбце (или строке) одновременно включить несколько произвольных светодиодов можно. Но! Если мы будем включать нужные нам светодиоды, например, построчно, при этом очень быстро меняя строки, то для глаза рисунок сольется и будет казаться точно таким же, как если бы мы произвольно включили несколько светодиодов в разных строках и столбцах.

То есть, фактически, картинка показывается за пять циклов: сначала первая строка, потом вторая, потом третья и так далее до пятой строки, после чего все циклы повторяются, но, за счет очень быстрого переключения строк, мы видим один статичный кадр (фрейм) - Рисунок 2.8.

Рисунок 2.8 – Пример фрейма

Так как каждый фрейм у нас состоит из пяти строк, в каждой из которых по пять столбцов, то весь фрейм кодируется 5*5 битами. Для удобства будем использовать один байт на столбец (старшие три бита использовать не будем), итого получим 5 байт на фрейм.

Переключая такие псевдостатичные картинки (но уже с различимой для глаза скоростью) можно получить динамическое изображение. Шесть (к примеру) фреймов для нашей матрицы займут в памяти 5*6=30 байт. Фреймы можно хранить в памяти данных EEPROM. Она имеет размер 128 байт, то есть позволяет хранить до 25 фреймов. Посчитаем: 25*5=125 + 2 байта (для хранения информации о количестве загруженных фреймов и о скорости смены фреймов).

Если соединить контроллер с компьютером через USART, то можно будет загружать фреймы прямо с компьютера.

Светодиод загорается в том случае, если он подключен и к питанию и к земле.

В нашем примере мы будем загружать фреймы из EEPROM в ОЗУ, причём только в нулевой банк, в котором, за вычетом всех пользовательских переменных, на фреймы остается 86 байт, то есть максимум 17 фреймов.

Для реализации динамической картинки "вращающийся крест" нам понадобится 6 фреймов (Рисунок 2.9).

Рисунок 2.9 – Реализация картинки «вращающийся крест»

2.6  Разработка программного обеспечения микроконтроллера

Мы рассмотрим программы для двух случаев, как было описано в п.2.4.

Листинг программы для первого случая (Эффект-1) приведен в Приложении Б, а для эффекта «Вращающийся крест» в Приложении В.

2.7  Выбор, описание и расчеты элементной базы

Рассмотрим принципиальную схему (Приложение Д).

Транзисторы VT1- VT5 - это драйверы строк (в открытом состоянии они подключают соответствующие строки к шине питания), VT6 - VT10 - драйверы столбцов (в открытом состоянии они подключают соответствующие столбцы к земле). Когда на базы транзисторов VT1-VT5 подан высокий уровень ("1") - они открываются, когда низкий ("0") - закрываются. Для транзисторов VT6 - VT10 все наоборот, - когда на базах высокий уровень ("1") - транзисторы закрыты, а когда низкий ("0") - открыты. Если светодиод оказывается подключен и к земле и к питанию - через него начинает протекать ток, и, соответственно, он светится.

Использование драйверов обусловлено тем, что максимальный ток порта ввода/вывода ограничен 25мА, а при полностью включенной строке или столбце суммарный ток светодиодов порядка 50 мА, т.е. мы не можем подключать строки и столбцы непосредственно к выводам контроллера.

Элементы:

R1- R25 = 220 Ом. Эти резисторы являются токоограничивающими (ограничивают токи, протекающие через светодиоды). В общем-то светодиоды бывают разные - у одних номинальный ток 10мА, у других 5 мА, у одних падение 1,5В, у других 2В и т.д. Как в общем случае посчитать номинал токоограничивающего резистора?

RTO=(UПИТ-UD-UTR1-UTR2)/IНОМ,                                                  (2.1)

где UПИТ - напряжение питания,

UD - падение напряжения на светодиоде,

UTR1 - падение напряжения (коллектор-эмиттер) на открытом транзисторе 1 (драйвер строки),

UTR2 - падение напряжения (коллектор-эмитер) на открытом транзисторе 2 (драйвер столбца),

IНОМ - номинальный ток светодиода.

R26 - R35 = 470 Ом. Эти резисторы ограничивают токи баз транзисторов.

R36 = 1 кОм. Резистор, подтягивающий -MCLR к питанию.

С1 = 0,1 мкФ. Конденсатор, фильтрующий ВЧ помехи по питанию контроллера .

VT1 - VT5 = КТ315 (падение напряжения в открытом состоянии 0,4 В);

VT6 - VT10 = КТ361 (падение напряжения в открытом состоянии 0,4 В).

Спецификация элементной базы приведена в Приложении Ж.

2.8  Разработка схемы электрической принципиальной

По имеющемуся набору данных построим электрическую схему светодиодной матрицы в САПР Accel Eda (Рис. 2.10).

Рисунок 2.10 – Схема электрическая принципиальная светодиодной матрицы в САПР Accel Eda


3 ТЕХНИКО-ЭКОНОМИЧЕСКОЕ ОБОСНОВАНИЕ ОБЪЕКТА РАЗРАБОТКИ

В данном разделе проводится технико-экономический расчет стоимости светодиодной матрицы.

Стоимость устройства будет состоять из стоимости разработки ПО для микроконтроллера, стоимости разработки конструкторской документации (КД) и стоимости сборки и испытания устройства.

3.1 Расчет расходов на ПО, которое разрабатывается

Исходные данные для расчета стоимости разработки ПО, которое разрабатывается приведены в таблице 3.1.

Таблица 3.1 – Исходные данные по предприятию

№п/п Статьи затрат  Усл. обоз. Ед. изм. Значения

 

 

Проектирование и разработка ПО

 

1 Часовая тарифная ставка программиста

Зпр

грн. 8,00

 

2 Коэффициент сложности программы с коэф. 1,40

 

3 Коэффициент коррекции программы Р коэф. 0,05

 

4 Коэффициент увеличения расходов труда Z коэф. 1,3

 

5 Коэффициент квалификации программиста k коэф. 1,0

 

6 Амортизационные отчисления

Амт

% 10,0

 

7 Мощность компьютера, принтера

Квт/ч 0,40

 

8

Стоимость ПЕОМ IBM

Sempron LE1150(AM2)/1GB/TFT

Втз

грн. 3200,00
9 Тариф на электроэнергию

Це/е

грн. 0,56
10 Норма дополнительной зарплаты

Нд

% 10,0
11 Отчисление на социальные расходы

Нсоц

% 37,2
12 Транспортно-заготовительные расходы

Нтр

% 4,0
Эксплуатация П0

 

13 Численность обслуживающего персонала

Чо

чел 1

 

14 Часовая тарифная ставка обслуживающего персонала

Зпер

грн. 6,00
15 Время обслуживания систем

То

час/г 150
16 Стоимость ПЕОМ

Втз

грн. 3200,00
17 Норма амортизационных отчислений на ПЕОМ

На

% 10,0
18 Норма амортизационных отчислений на ПЗ

НаПО

% 10,0
19 Накладные расходы

Рнак

% 25,0
20 Отчисление на содержание и ремонт ПЕОМ

Нр

% 10,0
21 Стоимость работы одного часа ПЕОМ

Вг

грн. 6,5

Первичными исходными данными для определения себестоимости ПО является количество исходных команд (операторов) конечного программного продукта. Условное количество операторов Q в программе задания может быть оценено по формуле:

,                                                                              (3.1)

где     у – расчетное количество операторов в программе, что разрабатывается (единиц);

с – коэффициент сложности программы;

р – коэффициент коррекции программы в ходе ее разработки.

Рассчитанное количество операторов в разработанной программе – 500.

Коэффициент с – относительная сложность задания относительно отношения к типичной задаче, сложность которой принята более 1, лежит в границах от 1,25 до 2,0 и выбирается равным 1,30.

Коэффициент коррекции программы р – увеличение объема работ за счет внесения изменений в программу лежит в границах от 0,05 до 0,1 и выбирается равным 0,05.

Подставим выбранные значения в формулу (3.1) и определим величину Q:

Q = 200∙1,3 (1 + 0,05) = 273.


3.2 Расчет расходов на создание ПО

Расчет расходов на ПО проводится методом калькуляции расходов, в основу которого положена трудоемкость и заработная плата разработчиков. Трудоемкость разработки ПО рассчитывается по формуле:

                                                    (3.2)

где    То – расходы труда на описание задания;

Ти – расходы труда на изучение описания задания;

Та – расходы труда на разработку алгоритма решения задания;

Тп – расходы труда на составление программы по готовой блок-схеме;

Тотл – расходы труда на отладку программы на ЭВМ;

Тд – расходы труда на подготовку документации.

Составные расходы труда, в свою очередь, можно определить по числу операторов Q для ПО, которое разрабатывается. При оценке расходов труда используются:

- коэффициенты квалификации разработчика алгоритмов и программ – k;

– увеличение расходов труда в результате недостаточного описания задания – Z.

Коэффициент квалификации разработчика характеризует меру подготовленности исполнителя к порученной ему работе (он задается в зависимости от стажа работы), k = 1,0.

Коэффициент увеличения расходов труда в результате недостаточного описания задания характеризует качество постановки задания, выданного для разработки программы, в связи с тем, что задание требовало уточнения и некоторой доработки. Этот коэффициент принимается равным 1,3.

Все исходные данные приведенные в таблице 3.1.

а) Трудоемкость разработки П0 составляет:

Расходы труда на подготовку описания задания То принимаются равными 5 чел/час, исходя из опыта работы.

Расходы труда на изучение описания задания Те с учетом уточнения описания и квалификации программиста могут быть определены по формуле:

;                                                                      (3.3)

Ти = 273∙1,3/80∙1 = 5(чел/час)

Расходы труда на разработку алгоритма решения задачи рассчитываются по формуле:

;                                                                          (3.4)

Та=273/25∙1 = 11 (чел/час)

Расходы труда на составление программы по готовой блок-схеме Тп рассчитываются по формуле:

;                                                                            (3.5)

 (чел/час)

Расходы труда на отладку программы на ПЕОМ Тотл рассчитываются по формуле:

-  при автономной отладке одного задания:

;                                                                            (3.6)

 (чел/час)

-  при комплексной отладке задания:

;                                                                                 (3.7)

 (чел/час)

Расходы труда на подготовку документации по заданию Тд определяются по формуле:

,                                                                                (3.8)

где    Тдр – расходы труда на подготовку материалов в рукописи:

;                                                                            (3.9)

(чел/час)                                                     

Тдо – расходы труда на редактирование, печать и оформление документация:

.                                                                                 (3.10)

(чел/час)                                                            

Подставляя приобретенных значений в формулу (3.8), получим:

 (чел/час)

Определим трудоемкость разработки ПО, подставив полученные значения составляющих в формулу (3.2):

Расчет трудоемкости и зарплаты приведен в таблице 3.2.

Таблица 3.2 – Трудоемкость и зарплата разработчиков ПО

Наименование этапов разработки Трудоемкость чел/часов Почасовая тарифная ставка программиста, грн. Сумма зарплаты, грн.
Описание задания 5 8,00 40,00
Изучение задания 5 8,00 40,00
Составление алгоритма решения задачи 11 8,00 88,00
Программирование 13 8,00 104,00
Отладка программы 55 8,00 440,00
Оформление документации 25 8,00 200,00
ВСЕГО: 114 8,00 912,00

б) Расчет материальных расходов на разработку ПО

Материальные расходы Мз, которые необходимы для создания ПО приведены в таблице 3.3.

Таблица 3.3 – Расчет материальных расходов на разработку ПО

Материал Фактическое количество Цена за единицу, грн. Сумма, грн.
1. DVD 2 3,00 6,00
2. Бумага 500 0,10 50,00
ВСЕГО: 56,00
ТЗР (4%) 2,24
ИТОГО: 57,24

в) Расходы на использование ЭВМ при разработке ПО

Расходы на использование ЭВМ при разработке ПО рассчитываются, исходя расходов одного часа, по формуле:

,                                                                 (3.12)

где    Вг – стоимость работы одного часа ЭВМ, грн.;

Тотл – расходы труда на наладку программы на ЭВМ, чел./час.;

Тд– расходы труда на подготовку документации, чел./час.;

Тп – расходы труда на составление программы по готовой блок-схеме, чел./час.

 (грн.)

г) Расчет технологической себестоимости создания программы

Расчет технологической себестоимости создания программы проводится методом калькуляции расходов (таблица 3.4).

Таблица 3.4 – Калькуляция технологических расходов на создание ПО

Наименование Расходы, грн.
1 Материальные расходы 57,24
2 Основная зарплата 912,00
3 Дополнительная зарплата (15,0 %) 136,80
4 Отчисление на социальные мероприятия (37,2 %) 390,15
5 Накладные расходы (25,0 %) 228,00
6

Расходы на использование ЭВМ

составлении программного обеспечения ПО

604,50
7 Себестоимость ПО микроконтроллера 2328,69

В таблице 3.4 величина материальных расходов Мз рассчитана в таблице 3.3, основная зарплата Со берется из таблицы 3.2, дополнительная зарплата составляет 15% от основной зарплаты, отчисление на социальные потребности – 37,2% от основной и дополнительной зарплат (вместе), накладные расходы – 25% от основной зарплаты. Себестоимость разработанной программы СПО рассчитывается как сумма пунктов 1 – 6.

Стоимость ПО для микроконтроллера составляет 2328,69 грн. на единицу продукции. Если организовать массовый выпуск продукции эта стоимость разделится на количество выпущенных изделий.

3.3 Расчет стоимости разработки конструкторской документации и сборки устройства

а) Трудоемкость разработки КД изделия (Т) рассчитывается по формуле:

,                                                (3.13)

где    Татз – расходы труда на анализ технического задания (ТЗ), чел./час;

Трес – расходы труда на разработку электрических схем, чел./час;

Трк – расходы труда на разработку конструкции, чел./час;

Трт – расходы труда на разработку технологии, чел./час;

Токд – расходы труда на оформление КД, чел./час;

Твидз – расходы труда на изготовление и испытание опытного образца, чел./час.

Данные расчета заносятся в таблицу 3.5.

Заработная плата на разработку КД изделия определяется по формуле:

,                                                                                      (3.14)

где     - почасовая тарифная ставка разработчика, грн.;

 - трудоемкость разработки КД изделия.

Таблица 3.5 - Расчет заработной платы на разработку КД изделия

Виды работ Условные обозначения

Почасовая тарифная ставка
 Сст, грн.

Факт. расходы времени чел./час;  Зарплата, грн.
1. Анализ ТЗ

Татз

6,00 2 12,00
2. Разработка электрических схем

Трес

6,00 4 24,00
3. Разработка конструкции

Трк

6,00 4 24,00
4. Разработка технологии

Трт

6,00 2 12,00
5. Оформление КД

Токд

6,00 2 12,00
6. Изготовление и испытание опытного образца

Твидз

6,00 8 48,00
Всего: å 6,00 22 132,00

б) Расчет материальных расходов на разработку КД

Материальные расходы Мв, которые необходимы для разработки (создании) КД, приведены в таблице 3.6.

Таблица 3.6 - Расчет материальных расходов на разработку КД

Материал Обозначение пометь.

Факт. кол.

чество

Цена за ед. грн.

цу, грн.

Сумма,

грн.

1. CD DVD 2 3,00 6,00
2. Бумага 500 0,07 35,00
ВСЕГО: å 41,00
 ТЗР (4%) 1,64
Итого:

Мв

42,64

в) Расходы на использование ЭВМ при разработке КД

Расходы, на использование ЭВМ при разработке КД, рассчитываются исходя из расходов работы одного часа ЭВМ по формуле, грн.:

,                                                    (3.15)

где    Вг – стоимость работы одного часа ЭВМ, грн.

Трес – расходы труда на разработку электрических схем, чел./час;

Трк – расходы труда на разработку конструкции, чел./час;

Трт – расходы труда на разработку технологии, чел./час;

Токд – расходы труда на оформление КД, чел./час;

При этом, стоимость работы одного часа ЭВМ (других технических средств - ТС) Вг определяется по формуле, грн.:

,                                                   (3.16)

где    Те/е – расходы на электроэнергию, грн.;

Ваморт – величина 1-ого часа амортизации ЭВМ (ТС), грн.;

Зперс – почасовая зарплата обслуживающего персонала, грн.;

Трем – расходы на ремонт, покупку деталей, грн.;

Стоимость одного часа амортизации Ваморт определяется по формуле, грн.: (при 40 часовой рабочей неделе)

,                                                (3.17)

где    Втз - стоимость технических средств, грн.

На - норма годовой амортизации (%).

Кт - количество недель на год (52 недели/год).

Гт - количество рабочих часов в неделю (40 час/неделя)

Почасовая оплата обслуживающего персонала Зперс рассчитывается по формуле, грн.:

,                                                      (3.18)

где Окл - месячный оклад обслуживающего персонала, грн.

Крг - количество рабочих часов в месяц (160 часов/месяц);

Нрем - расходы на оплату труда ремонта ЭВМ (6 % Окл).

Расходы на ремонт, покупку деталей для ЭВМ Трем определяются по формуле, грн.:

,                                                (3.19)

где    Втз - стоимость технических средств, грн.

Нрем - процент расходов на ремонт, покупку деталей (%);

Кт - количество недель на год (52 недели/год).

Гт - количество рабочих часов в неделю (36 ¸ 168 час./неделя)

Расходы на использование электроэнергии ЭВМ и техническими средствами Те/е определяются по формуле, грн.:

,                                                                           (3.20)

где    Ве/е – стоимость одного кВт/час электроэнергии, грн.;

Wпот – мощность компьютера, принтера и сканера (за 1 час), (кВт/час.).

Таким образом, стоимость одного часа работы ЭВМ при разработке КД будет составлять (см. формулу 3.16), грн.:

.

Расходы на использование ЭВМ при разработке, грн. (см. формулу 3.15):

г) Расчет технологической себестоимости создания КД

Расчет технологической себестоимости создания КД изделия проводится методом калькуляции расходов (таблица 3.7).

В таблице 3.7 величина материальных расходов Мв рассчитана в таблице 3.6, основная зарплата Со берется из таблицы 3.5, дополнительная зарплата 15 % от основной зарплаты, отчисление на социальные мероприятия 37,2% - от основной и дополнительной зарплаты (вместе). Накладные расходы 25% от основной зарплаты. Себестоимость разработанной конструкторской документации Скд рассчитывается как сумма пунктов 1–6.


Таблица 3.7 - Калькуляция технологических расходов на создание КД изделия

п/п

Наименование статей Условные обозначения  Расходы (грн.)
1 2 3 4
1. Материальные расходы

Мв

42,64
2. Основная зарплата

Зо

132,00
3. Дополнительная зарплата

Зд

19,80
4. Отчисление на социальные мероприятия

37,2%(Зо+Зд)

56,47
5. Общепроизводственные (накладные) расходы предприятия

Ннакл

33,00
6. Расходы на использование ЭВМ при составлении программного обеспечения КД

ВЕОМ

26,60
7. Себестоимость КД изделия

Скд = å (1¸6)

310,51

3.4 Расчет расходов на стадии производства изделия

Себестоимость изделия которое разрабатывается рассчитывается на основе норм материальных и трудовых расходов. Среди исходных данных, которые используются для расчета себестоимости изделия, выделяют нормы расходов сырья и основных материалов на одно изделие.

Таблица 3.8 -Расчет расходов на сырье и основные материалы на одно изделие

Материалы

Норма расходов

(единиц)

Оптовая цена грн./ед.

Фактические расходы

(единиц)

Сумма

грн.

1 2 3 4 5

Стеклотекстолит СФ-2-35

(лист 1,0 ГОСТ 10316 - 78), кг

0,5 24,00 0,4 9,60
Припой ПОС - 61 (ГОСТ 21930 - 76), кг 0,05 18.00 0,05 0,90
Всего: 10,50
Транспортно-заготовительные расходы (4%) 0,42
Итого: 10,92

В ходе расчета себестоимости изделия, как исходные данные, используют спецификации материалов, покупных комплектующих изделий и полуфабрикатов, которые используются при сборке одного изделия (Таблица 3.9).

Расчет зарплаты основных производственных рабочих проводим на основе норм трудоемкости по видам работ и по часовым ставкам рабочих (таблица 3.10).

Таблица 3.9 – Расчет расходов покупных комплектующих изделий и полуфабрикатов

Наименование Тип, модель Источник Цена за единицу, грн. Количество в изделии, шт. Сумма, грн
1 Микроконтроллер PIC16F628A

www.

elfa-

elektro-

nics.com

33,13 1 33,13
2 Конденсатор К31-11-1Г-22 пФ -«-«-«- 0,14 1 0,14
3 Резистор МЛТ- 0,125 -«-«-«- 0,13 36 4,68
4 Транзистор КТ 315 Г -«-«-«- 0,41 5 2,05
5 Транзистор КТ361Б -«-«-«- 0,41 5 2,05
6 Светодиод АЛС 307Б -«-«-«- 0,24 25 6,00
Всего: 48,05
Транспортно-заготовительные расходы (4%) 1,92
ИТОГО 49,97

Таблица 3.10 - Расчет основной зарплаты

Наименование операции

Почасовая тарифная ставка, грн.

Норма времени чел./час.

Сдельная зарплата, грн.

1

2

3

4

Заготовительная

5,67 1 5,67
Фрезерная 5,67 1 5,67
Слесарная 5,67 1 5,67
Гравировка 5,67 1 5,67
Фотохимпечать 5,67 2 11,34
Гальваническая 5,67 2 11,34
Маркировочная 5,67 1 5,67
Сборка 5,67 2 11,34

Монтаж

5,67 1 5,67

Настройка

5,67 2 11,34

Другие

- - -

Всего:

14

62,37

Калькуляция себестоимости и определения цены выполняется в таблице 3.10.

Таблица 3.10 - Калькуляция себестоимости и определения цены изделия

Наименование статей расходов

 Расходы  грн.

1

2

Сырье и материалы

10,92

Покупные комплектующие изделия

49,97
Основная зарплата рабочих 62,37
Дополнительная зарплата (15%) 9,36
Отчисление на социальные мероприятия (37,2%) 26,68
Накладные расходы (25% ) 15,59
Стоимость КД 310,51

Общая стоимость светодиодной матрицы

485,40

Общая стоимость изделия будет составлять:

Собщ. = С прог. + С баз. Бл.                                                                    (3.21)

где С прог. – себестоимость составления программы для микроконтроллера;

С баз. Бл – себестоимость подготовки КД и сборки устройства.

При единичном изготовлении:

Собщ. = 2328,69+ 485,40 = 2814,09 (грн.).


При производстве 100 единиц продукции:

Собщ.100 = 200,51 грн.

При производстве 1000 изделий:

Собщ.1000 = 177,53 грн.

При расчете не учитывалось снижение цены на покупные комплектующие изделия и полуфабрикаты, т.к. эта величина при оптовой закупке будет зависеть от величины партии.

Проведем маркетинговые исследования аналогичных устройств.

3.5 Анализ устройств-аналогов

При анализе рынка сбыта аналогичных устройств, были выяснены стоимость и функции выполняемые данными устройствами.

Таблица 3.11 – Сравнительная характеристика устройств-аналогов

Название

устройства

Выполняемые функции Стоимость устройства, грн. Питающее напряжение Примечания

Без названия

(Китай)

Разнообразные сочетания: от звезды до квадрата 160 220

MATRIZA-1

(Германия)

1.бегущие в ряд огни;

2.бегущие крестом;

3.перемещение линиями

120 220

Проектируемое

устройство

Выполняет 6 различных функций 177,53

постоянное

12 В

Требуется

дополнительный источник питания

При анализе устройств-аналогов выяснилось, что характеристики устройств аналогичны, как и выполняемые функции. Достоинство нашего устройства: количество выполняемых функций больше, чем у аналогов, существует возможность подключения устройства к сети автомобиля, но для питания от сети потребуется дополнительный источник питания, а это дополнительные расходы.


РАЗДЕЛ 4 ОХРАНА ТРУДА

В настоящее время компьютерная техника широко применяется во всех областях деятельности человека. При работе с компьютером человек подвергается воздействию ряда опасных и вредных производственных факторов: электромагнитных полей (диапазон радиочастот: ВЧ, УВЧ и СВЧ), инфракрасного и ионизирующего излучений, шума и вибрации, статического электричества и др..

Работа с компьютером характеризуется значительным умственным напряжением и нервно-эмоциональной нагрузкой операторов, высокой напряженностью зрительной работы и достаточно большой нагрузкой на мышцы рук при работе с клавиатурой ЭВМ. Большое значение имеет рациональная конструкция и расположение элементов рабочего места, что важно для поддержания оптимальной рабочей позы человека-оператора.

В процессе работы с компьютером необходимо соблюдать правильный режим труда и отдыха. В противном случае у персонала отмечаются значительное напряжение зрительного аппарата с появлением жалоб на неудовлетворенность работой, головные боли, раздражительность, нарушение сна, усталость и болезненные ощущения в глазах, в пояснице, в области шеи и руках.

4.1 Требования к производственным помещениям

4.1.1 Окраска и коэффициенты отражения

Источники света, такие как светильники и окна, которые дают отражение от поверхности экрана, значительно ухудшают точность знаков и влекут за собой помехи физиологического характера, которые могут выразиться в значительном напряжении, особенно при продолжительной работе. Отражение, включая отражения от вторичных источников света, должно быть сведено к минимуму.

Для защиты от избыточной яркости окон могут быть применены шторы и экраны.

Окраска помещений и мебели должна способствовать созданию благоприятных условий для зрительного восприятия, хорошего настроения.

В зависимости от ориентации окон рекомендуется следующая окраска стен и пола:

окна ориентированы на юг: - стены зеленовато-голубого или светло-голубого цвета; пол - зеленый;

окна ориентированы на север: - стены светло-оранжевого или оранжево-желтого цвета; пол - красновато-оранжевый;

окна ориентированы на восток: - стены желто-зеленого цвета; пол зеленый или красновато-оранжевый;

окна ориентированы на запад: - стены желто-зеленого или голубовато-зеленого цвета; пол зеленый или красновато-оранжевый.

В помещениях, где находится компьютер, необходимо обеспечить следующие величины коэффициента отражения: для потолка: 60-70%, для стен: 40-50%, для пола: около 30%. Для других поверхностей и рабочей мебели: 30-40%.

4.1.2 Освещение

Правильно спроектированное и выполненное производственное освещение улучшает условия зрительной работы, снижает утомляемость, способствует повышению производительности труда, благотворно влияет на производственную среду, оказывая положительное психологическое воздействие на работника, повышает безопасность труда и снижает травматизм.

Недостаточность освещения приводит к напряжению зрения, ослабляет внимание, приводит к наступлению преждевременной утомленности. Чрезмерно яркое освещение вызывает ослепление, раздражение и резь в глазах.

Неправильное направление света на рабочем месте может создавать резкие тени, блики, дезориентировать работающего. Все эти причины могут привести к несчастному случаю или профзаболеваниям, поэтому столь важен правильный расчет освещенности.

Существует три вида освещения - естественное, искусственное и совмещенное (естественное и искусственное вместе).

Естественное освещение - освещение помещений дневным светом, проникающим через световые проемы в наружных ограждающих конструкциях помещений.

Естественное освещение характеризуется тем, что меняется в широких пределах в зависимости от времени дня, времени года, характера области и ряда других факторов.

Искусственное освещение применяется при работе в темное время суток и днем, когда не удается обеспечить нормированные значения коэффициента естественного освещения (пасмурная погода, короткий световой день).

Освещение, при котором недостаточное по нормам естественное освещение дополняется искусственным, называется совмещенным освещением.

Искусственное освещение подразделяется на рабочее, аварийное, эвакуационное, охранное. Рабочее освещение, в свою очередь, может быть общим или комбинированным. Общее - освещение, при котором светильники размещаются в верхней зоне помещения равномерно или применительно к расположению оборудования. Комбинированное - освещение, при котором к общему добавляется местное освещение.

Согласно СНиП II-4-79 в помещений вычислительных центров необходимо применить систему комбинированного освещения.

При выполнении работ категории высокой зрительной точности (наименьший размер объекта различения 0,3…0,5мм) величина коэффициента естественного освещения (КЕО) должна быть не ниже 1,5%, а при зрительной работе средней точности (наименьший размер объекта различения 0,5…1,0 мм) КЕО должен быть не ниже 1,0%. В качестве источников искусственного освещения обычно используются люминесцентные лампы типа ЛБ или ДРЛ, которые попарно объединяются в светильники, которые должны располагаться над рабочими поверхностями равномерно.

Требования к освещенности в помещениях, где установлены компьютеры, следующие: при выполнении зрительных работ высокой точности общая освещенность должна составлять 300лк, а комбинированная - 750лк; аналогичные требования при выполнении работ средней точности - 200 и 300лк соответственно.

Кроме того все поле зрения должно быть освещено достаточно равномерно – это основное гигиеническое требование. Иными словами, степень освещения помещения и яркость экрана компьютера должны быть примерно одинаковыми, т.к. яркий свет в районе периферийного зрения значительно увеличивает напряженность глаз и, как следствие, приводит к их быстрой утомляемости.

4.1.3 Параметры микроклимата

Параметры микроклимата могут меняться в широких пределах, в то время как необходимым условием жизнедеятельности человека является поддержание постоянства температуры тела благодаря терморегуляции, т.е. способности организма регулировать отдачу тепла в окружающую среду. Принцип нормирования микроклимата – создание оптимальных условий для теплообмена тела человека с окружающей средой.

Вычислительная техника является источником существенных тепловыделений, что может привести к повышению температуры и снижению относительной влажности в помещении. В помещениях, где установлены компьютеры, должны соблюдаться определенные параметры микроклимата. В санитарных нормах СН-245-71 установлены величины параметров микроклимата, создающие комфортные условия. Эти нормы устанавливаются в зависимости от времени года, характера трудового процесса и характера производственного помещения (см. табл. 4.1)

Объем помещений, в которых размещены работники вычислительных центров, не должен быть меньше 19,5м3/человека с учетом максимального числа одновременно работающих в смену. Нормы подачи свежего воздуха в помещения, где расположены компьютеры, приведены в табл. 4.2.

Для обеспечения комфортных условий используются как организационные методы (рациональная организация проведения работ в зависимости от времени года и суток, чередование труда и отдыха), так и технические средства (вентиляция, кондиционирование воздуха, отопительная система).

Таблица 4.1- Параметры микроклимата для помещений, где установлены компьютеры

Период года Параметр микроклимата Величина
Холодный Температура воздуха в помещении 22…24°С
Относительная влажность 40…60%
Скорость движения воздуха до 0,1м/с
Теплый Температура воздуха в помещении 23…25°С
Относительная влажность 40…60%
Скорость движения воздуха 0,1…0,2м/с

Таблица 4.2 - Нормы подачи свежего воздуха в помещения, где расположены компьютеры

Характеристика помещения

Объемный расход подаваемого в помещение свежего воздуха, м3 /на одного человека в час

Объем до 20м3 на человека

Не менее 30

20…40м3 на человека

Не менее 20

Более 40м3 на человека

Естественная вентиляция

4.1.4 Шум и вибрация

Шум ухудшает условия труда оказывая вредное действие на организм человека. Работающие в условиях длительного шумового воздействия испытывают раздражительность, головные боли, головокружение, снижение памяти, повышенную утомляемость, понижение аппетита, боли в ушах и т. д. Такие нарушения в работе ряда органов и систем организма человека могут вызвать негативные изменения в эмоциональном состоянии человека вплоть до стрессовых. Под воздействием шума снижается концентрация внимания, нарушаются физиологические функции, появляется усталость в связи с повышенными энергетическими затратами и нервно-психическим напряжением, ухудшается речевая коммутация. Все это снижает работоспособность человека и его производительность, качество и безопасность труда. Длительное воздействие интенсивного шума [выше 80 дБ(А)] на слух человека приводит к его частичной или полной потере.

В табл. 4.3 указаны предельные уровни звука в зависимости от категории тяжести и напряженности труда, являющиеся безопасными в отношении сохранения здоровья и работоспособности.

Таблица 4.3 - Предельные уровни звука, дБ, на рабочих местах

Категория напряженности труда Категория тяжести труда
Легкая Средняя Тяжелая Очень тяжелая
I. Мало напряженный 80 80 75 75
II. Умеренно напряженный 70 70 65 65
III. Напряженный 60 60 - -
IV. Очень напряженный 50 50 - -

Уровень шума на рабочем месте математиков-программистов и операторов видеоматериалов не должен превышать 50дБА, а в залах обработки информации на вычислительных машинах - 65дБА. Для снижения уровня шума стены и потолок помещений, где установлены компьютеры, могут быть облицованы звукопоглощающими материалами. Уровень вибрации в помещениях вычислительных центров может быть снижен путем установки оборудования на специальные виброизоляторы.

4.1.5 Электромагнитное и ионизирующее излучения

Большинство ученых считают, что как кратковременное, так и длительное воздействие всех видов излучения от экрана монитора не опасно для здоровья персонала, обслуживающего компьютеры. Однако исчерпывающих данных относительно опасности воздействия излучения от мониторов на работающих с компьютерами не существует и исследования в этом направлении продолжаются.

Допустимые значения параметров неионизирующих электромагнитных излучений от монитора компьютера представлены в табл. 4.4.

Максимальный уровень рентгеновского излучения на рабочем месте оператора компьютера обычно не превышает 10мкбэр/ч, а интенсивность ультрафиолетового и инфракрасного излучений от экрана монитора лежит в пределах 10-100мВт/м2.

Таблица 4.4 - Допустимые значения параметров неионизирующих электромагнитных излучений (в соответствии с СанПиН 2.2.2.542-96)

Наименование параметра Допустимые значения
Напряженность электрической составляющей электромагнитного поля на расстоянии 50см от поверхности видеомонитора 10В/м

Напряженность магнитной составляющей электромагнитного

поля на расстоянии 50см от поверхности видеомонитора

0,3А/м

Напряженность электростатического поля не должна превышать:

для взрослых пользователей

для детей дошкольных учреждений и учащихся средних специальных и высших учебных заведений

20кВ/м

15кВ/м

Для снижения воздействия этих видов излучения рекомендуется применять мониторы с пониженным уровнем излучения (MPR-II, TCO-92, TCO-99), устанавливать защитные экраны, а также соблюдать регламентированные режимы труда и отдыха.


4.2 Эргономические требования к рабочему месту

Проектирование рабочих мест, снабженных видеотерминалами, относится к числу важных проблем эргономического проектирования в области вычислительной техники.

Рабочее место и взаимное расположение всех его элементов должно соответствовать антропометрическим, физическим и психологическим требованиям. Большое значение имеет также характер работы. В частности, при организации рабочего места программиста должны быть соблюдены следующие основные условия: оптимальное размещение оборудования, входящего в состав рабочего места и достаточное рабочее пространство, позволяющее осуществлять все необходимые движения и перемещения.

Эргономическими аспектами проектирования видеотерминальных рабочих мест, в частности, являются: высота рабочей поверхности, размеры пространства для ног, требования к расположению документов на рабочем месте (наличие и размеры подставки для документов, возможность различного размещения документов, расстояние от глаз пользователя до экрана, документа, клавиатуры и т.д.), характеристики рабочего кресла, требования к поверхности рабочего стола, регулируемость элементов рабочего места.

Главными элементами рабочего места программиста являются стол и кресло.

Основным рабочим положением является положение сидя.

Рабочая поза сидя вызывает минимальное утомление программиста.

Рациональная планировка рабочего места предусматривает четкий порядок и постоянство размещения предметов, средств труда и документации. То, что требуется для выполнения работ чаще, расположено в зоне легкой досягаемости рабочего пространства.

Моторное поле - пространство рабочего места, в котором могут осуществляться двигательные действия человека.

Максимальная зона досягаемости рук - это часть моторного поля рабочего места, ограниченного дугами, описываемыми максимально вытянутыми руками при движении их в плечевом суставе.

Оптимальная зона - часть моторного поля рабочего места, ограниченного дугами, описываемыми предплечьями при движении в локтевых суставах с опорой в точке локтя и с относительно неподвижным плечом.

Оптимальное размещение предметов труда и документации в зонах досягаемости:

ДИСПЛЕЙ размещается в зоне а (в центре);

СИСТЕМНЫЙ БЛОК размещается в предусмотренной нише стола;

КЛАВИАТУРА - в зоне г/д;

«МЫШЬ» - в зоне в справа;

СКАНЕР в зоне а/б (слева);

ПРИНТЕР находится в зоне а (справа);

ДОКУМЕНТАЦИЯ: необходимая при работе - в зоне легкой досягаемости ладони – в, а в выдвижных ящиках стола - литература, неиспользуемая постоянно.

На рис. 4.1 показан пример размещения основных и периферийных составляющих ПК на рабочем столе программиста.

Для комфортной работы стол должен удовлетворять следующим условиям :

- высота стола должна быть выбрана с учетом возможности сидеть свободно, в удобной позе, при необходимости опираясь на подлокотники;

- нижняя часть стола должна быть сконструирована так, чтобы программист мог удобно сидеть, не был вынужден поджимать ноги;

- поверхность стола должна обладать свойствами, исключающими появление бликов в поле зрения программиста;

- конструкция стола должна предусматривать наличие выдвижных ящиков (не менее 3 для хранения документации, листингов, канцелярских принадлежностей);


Рисунок 4.1- Размещения основных и периферийных составляющих ПК на рабочем столе программиста:

1 – сканер, 2 – монитор, 3 – принтер, 4 – поверхность рабочего стола,

5 – клавиатура, 6 – манипулятор типа «мышь».

- высота рабочей поверхности рекомендуется в пределах 680-760мм;

- высота поверхности, на которую устанавливается клавиатура, должна быть около 650мм.

Большое значение придается характеристикам рабочего кресла. Так, рекомендуемая высота сиденья над уровнем пола находится в пределах 420-

550мм. Поверхность сиденья мягкая, передний край закругленный, а угол наклона спинки - регулируемый.

Необходимо предусматривать при проектировании возможность различного размещения документов: сбоку от видеотерминала, между монитором и клавиатурой и т.п. Кроме того, в случаях, когда видеотерминал имеет низкое качество изображения, например заметны мелькания, расстояние от глаз до экрана делают больше (около 700мм), чем расстояние от глаза до документа (300-450мм). Вообще при высоком качестве изображения на видеотерминале расстояние от глаз пользователя до экрана, документа и клавиатуры может быть равным.

Положение экрана определяется:

- расстоянием считывания (0,6 - 0,7м);

- углом считывания, направлением взгляда на 20˚ ниже горизонтали к центру экрана, причем экран перпендикулярен этому направлению.

Должна также предусматриваться возможность регулирования экрана:

- по высоте +3 см;

- по наклону от -10˚ до +20˚ относительно вертикали;

- в левом и правом направлениях.

Большое значение также придается правильной рабочей позе пользователя.

При неудобной рабочей позе могут появиться боли в мышцах, суставах и сухожилиях. Требования к рабочей позе пользователя видеотерминала следующие:

- голова не должна быть наклонена более чем на 20˚,

- плечи должны быть расслаблены,

- локти - под углом 80˚-100˚,

- предплечья и кисти рук - в горизонтальном положении.

Причина неправильной позы пользователей обусловлена следующими факторами: нет хорошей подставки для документов, клавиатура находится слишком высоко, а документы - низко, некуда положить руки и кисти, недостаточно пространство для ног.

В целях преодоления указанных недостатков даются общие рекомендации: лучше передвижная клавиатура; должны быть предусмотрены специальные приспособления для регулирования высоты стола, клавиатуры и экрана, а также подставка для рук.

Существенное значение для производительной и качественной работы на компьютере имеют размеры знаков, плотность их размещения, контраст и соотношение яркостей символов и фона экрана. Если расстояние от глаз оператора до экрана дисплея составляет 60-80 см, то высота знака должна быть не менее 3мм, оптимальное соотношение ширины и высоты знака составляет

3:4, а расстояние между знаками – 15-20% их высоты. Соотношение яркости фона экрана и символов - от 1:2 до 1:15.

Во время пользования компьютером медики советуют устанавливать монитор на расстоянии 50-60 см от глаз. Специалисты также считают, что верхняя часть видеодисплея должна быть на уровне глаз или чуть ниже. Когда человек смотрит прямо перед собой, его глаза открываются шире, чем когда он смотрит вниз. За счет этого площадь обзора значительно увеличивается, вызывая обезвоживание глаз. К тому же если экран установлен высоко, а глаза широко открыты, нарушается функция моргания. Это значит, что глаза не закрываются полностью, не омываются слезной жидкостью, не получают достаточного увлажнения, что приводит к их быстрой утомляемости.

Создание благоприятных условий труда и правильное эстетическое оформление рабочих мест на производстве имеет большое значение, как для облегчения труда, так и для повышения его привлекательности, положительно влияющей на производительность труда.

4.3 Режим труда

Как уже было неоднократно отмечено, при работе с персональным компьютером очень важную роль играет соблюдение правильного режима труда и отдыха. В противном случае у персонала отмечаются значительное напряжение зрительного аппарата с появлением жалоб на неудовлетворенность работой, головные боли, раздражительность, нарушение сна, усталость и болезненные ощущения в глазах, в пояснице, в области шеи и руках.

В табл. 4.5 представлены сведения о регламентированных перерывах, которые необходимо делать при работе на компьютере, в зависимости от продолжительности рабочей смены, видов и категорий трудовой деятельности с ВДТ (видеодисплейный терминал) и ПЭВМ (в соответствии с САнНиП 2.2.2 542-96 «Гигиенические требования к видеодисплейным терминалам, персональным электронно-вычислительным машинам и организации работ»).

Таблица 4.5 - Время регламентированных перерывов при работе на компьютере

Категория работы с ВДТ или ПЭВМ Уровень нагрузки за  рабочую смену при  видах работы с ВДТ, количество знаков Суммарное время  регламентированных перерывов, мин
При 8-часовой смене При 12-часовой смене
Группа А до 20000 30 70
Группа Б до 40000 50 90
Группа В до 60000 70 120

Примечание. Время перерывов дано при соблюдении указанных Санитарных правил и норм. При несоответствии фактических условий труда требованиям Санитарных правил и норм время регламентированных перерывов следует увеличить на 30%.

В соответствии со САнНиП 2.2.2 546-96 все виды трудовой деятельности, связанные с использованием компьютера, разделяются на три группы: группа А: работа по считыванию информации с экрана ВДТ или ПЭВМ с предварительным запросом; группа Б: работа по вводу информации; группа В: творческая работа в режиме диалога с ЭВМ.

Эффективность перерывов повышается при сочетании с производственной гимнастикой или организации специального помещения для отдыха персонала с удобной мягкой мебелью, аквариумом, зеленой зоной и т.п.


4.4 Расчет освещенности

Расчет освещенности рабочего места сводится к выбору системы освещения, определению необходимого числа светильников, их типа и размещения. Исходя из этого, рассчитаем параметры искусственного освещения.

Обычно искусственное освещение выполняется посредством электрических источников света двух видов: ламп накаливания и люминесцентных ламп. Будем использовать люминесцентные лампы, которые по сравнению с лампами накаливания имеют ряд существенных преимуществ:

- по спектральному составу света они близки к дневному, естественному свету;

- обладают более высоким КПД (в 1,5-2 раза выше, чем КПД ламп накаливания);

- обладают повышенной светоотдачей (в 3-4 раза выше, чем у ламп накаливания);

- более длительный срок службы.

Расчет освещения производится для комнаты площадью 15м2 , ширина которой - 5м, высота - 3 м. Воспользуемся методом светового потока.

Для определения количества светильников определим световой поток, падающий на поверхность по формуле:

F = E∙S∙Z∙К / n ,                                                                              (4.1)

Где    F - рассчитываемый световой поток, Лм;

Е - нормированная минимальная освещенность, Лк (определяется по таблице). Работу программиста, в соответствии с этой таблицей, можно отнести к разряду точных работ, следовательно, минимальная освещенность будет Е = 300Лк;

S - площадь освещаемого помещения (в нашем случае S = 15м2);

Z - отношение средней освещенности к минимальной (обычно принимается равным 1,1-1,15 , пусть Z = 1,1);

К - коэффициент запаса, учитывающий уменьшение светового потока лампы в результате загрязнения светильников в процессе эксплуатации (его значение зависит от типа помещения и характера проводимых в нем работ и в нашем случае К = 1,5);

n - коэффициент использования, (выражается отношением светового потока, падающего на расчетную поверхность, к суммарному потоку всех ламп и исчисляется в долях единицы; зависит от характеристик светильника, размеров помещения, окраски стен и потолка, характеризуемых коэффициентами отражения от стен (РС) и потолка (РП)), значение коэффициентов РС и РП были указаны выше: РС=40%, РП=60%. Значение n определим по таблице коэффициентов использования различных светильников.

Для этого вычислим индекс помещения по формуле:

I = A∙B / h (A+B),                                                                           (4.2)

где h - расчетная высота подвеса, h = 2,92 м;

A - ширина помещения, А = 3 м;

В - длина помещения, В = 5 м.

Подставив значения получим:

I= 0,642.

Зная индекс помещения I, по таблице 7 [23] находим n = 0,22.

Подставим все значения в формулу (4.1) для определения светового потока F, получаем F = 33750 Лм.

Для освещения выбираем люминесцентные лампы типа ЛБ40-1, световой поток которых Fл = 4320 Лк.

Рассчитаем необходимое количество ламп по формуле:

N = F / Fл,                                                                                       (4.3)

где    N - определяемое число ламп;

F - световой поток, F = 33750 Лм;

Fл- световой поток лампы, Fл = 4320 Лм.

N = 8 ламп.

При выборе осветительных приборов используем светильники типа ОД. Каждый светильник комплектуется двумя лампами.

Значит требуется для помещения площадью S = 15 м2 четыре светильника типа ОД.

Расчет естественного освещения помещений

Организация правильного освещения рабочих мест, зон обработки и производственных помещений имеет большое санитарно-гигиеническое значение, способствует повышению продуктивности работы, снижения травматизма, улучшения качества продукции. И наоборот, недостаточное освещение усложняет исполнения технологического процесса и может быть причиной несчастного случая и заболевания органов зрения.

Освещение должно удовлетворять такие основные требования:

- быть равномерным и довольно сильным;

- не создавать различных теней на местах работы, контрастов между освещенным рабочем местом и окружающей обстановкой;

- не создавать ненужной яркости и блеска в поле взора работников;

- давать правильное направление светового потока;

Все производственные помещения необходимо иметь светлопрорезы, которые дают достаточное природное освещение. Без природного освещения могут быть конференц-залы заседаний, выставочные залы, раздевалки, санитарно-бытовые помещения, помещения ожидания медицинских учреждений, помещений личной гигиены, коридоры и проходы.

Коэфициент естественного освещения в соответствии с ДНБ В 25.28.2006, для нашого III пояса светового климата составляет 1,5.

Исходя из этого произведем расчет необходимой площади оконных проемов.

Расчет площади окон при боковом освещении определяется, по формуле:

Sо = (Ln*Кз.*N0*Sn*Кзд.)/(100 *T0*r1)                                             (4.4)

где:   Ln – нормированное значение КЕО

Кз – коэффициент запаса (равен 1,2)

N0 – световая характеристика окон

Sn – площадь достаточного естественного освещения

Кзд. – коэффициент, учитывающий затенение окон противостоящими зданиями

r1 – коэффициент, учитывающий повышение КЕО при боковом освещении

T0 – общий коэффициент светопропускания, который рассчитывается по формуле:

T0 = T1 * T2 * T3 * T4 * T5,                                                                                                       (4.5)

где    T1 – коэффициент светопропускания материала;

T2 – коэффициент, учитывающий потери света в переплетах светопроема;

T3 – коэффициент, учитывающий потери света в несущих конструкциях;

T4 – коэффициент, учитывающий потери света в солнцезащитный устройствах;

T5 – коэффициент, учитывающий потери света в защитной сетке, устанавливаемой под фонарями, принимается равным 1;

Теперь следует рассчитать боковое освещение для зоны, примыкающей к наружной стене. По разряду зрительной работы нужно определить значение КЕО. КЕО = 1,5 нормированное значение КЕО с учетом светового климата необходимо вычислить по формуле:

Ln=l*m*c,                                                                                        (4.6)

где l – значение КЕО (l=1.5);

m – коэффициент светового климата (m=1);

c – коэффициент солнечности климата (c=1)

Ln=1,5

Теперь следует определить отношение длины помещения Ln к глубине помещения B:

Ln/B=3/5 =0,6;

Отношение глубины помещения В к высоте от уровня условной рабочей поверхности до верха окна h1 (в данном случае h1=1,8) :

B/h1=5/1,8 = 2,77.

Световая характеристика световых проемов N0=9.

Кзд=1

Значение T0=0,8*0,7*1*1*1=0,56.


Ln для 4 разряда зрительных работ равен 1,5 при мытье окон два раза в год.

Определяем r1, r1=1,5.

Кз.=1,2.

Теперь следует определить значение Sп:

Sп=Ln*В=3*10=30 м2.

Кзд.=1.

На данном этапе следует рассчитать необходимую площадь оконных проемов:

(Ln* Кз.*N0*Sn*Кзд.) / (100*T0*r1)

Sо = (1,5*1,2*9*30*1)/(100*0,56*1,5)=486/84= 5,78 м2;

Принимаем количество окон 1 штука:

S1=5,78 м2 площадь одного окна

Высота одного окна составляет – 2,5 м, ширина 2,3 м.

4.5 Расчет вентиляции

В зависимости от способа перемещения воздуха вентиляция бывает естественная и принудительная.

Параметры воздуха, поступающего в приемные отверстия и проемы местных отсосов технологических и других устройств, которые расположены в рабочей зоне, следует принимать в соответствии с ГОСТ 12.1.005-76. При размерах помещения 3 на 5 метров и высоте 3 метра, его объем 45 куб.м. Следовательно, вентиляция должна обеспечивать расход воздуха в 90 куб.м/час. В летнее время следует предусмотреть установку кондиционера с целью избежания превышения температуры в помещении для устойчивой работы оборудования. Необходимо уделить должное внимание количеству пыли в воздухе, так как это непосредственно влияет на надежность и ресурс эксплуатации ЭВМ.

Мощность (точнее мощность охлаждения) кондиционера является главной его характеристикой, от неё зависит на какой объем помещения он рассчитан. Для ориентировочных расчетов берется 1 кВт на 10 м2 при высоте потолков 2,8 – 3 м (в соответствии со СНиП 2.04.05-86 "Отопление, вентиляция и кондиционирование").

Для расчета теплопритоков данного помещения использована упрощенная методика:

Q=S·h·q                                                                                          (4.8)

где:   Q – Теплопритоки

S – Площадь помещения

h – Высота помещения

q – Коэффициент равный 30-40 вт/м3 (в данном случае 35 вт/м3)

Для помещения 15 м2 и высотой 3 м теплопритоки будут составлять:

Q=15·3·35=1575 вт

Кроме этого следует учитывать тепловыделение от оргтехники и людей, считается (в соответствии со СНиП 2.04.05-86 "Отопление, вентиляция и кондиционирование") что в спокойном состоянии человек выделяет 0,1 кВт тепла, компьютер или копировальный аппарат 0,3 кВт, прибавив эти значения к общим теплопритокам можно получить необходимую мощность охлаждения.


Qдоп=(H·Sопер)+(С·Sкомп)+(P·Sпринт) (4.9)

где:   Qдоп – Сумма дополнительных теплопритоков

C – Тепловыделение компьютера

H – Тепловыделение оператора

D – Тепловыделение принтера

Sкомп – Количество рабочих станций

Sпринт – Количество принтеров

Sопер – Количество операторов

Дополнительные теплопритоки помещения составят:

Qдоп1=(0,1·2)+(0,3·2)+(0,3·1)=1,1(кВт)

Итого сумма теплопритоков равна:

Qобщ1=1575+1100=2675 (Вт)

В соответствии с данными расчетами необходимо выбрать целесообразную мощность и количество кондиционеров.

Для помещения, для которого ведется расчет, следует использовать кондиционеры с номинальной мощностью 3,0 кВт.

4.6 Расчет уровня шума

Одним из неблагоприятных факторов производственной среды в ИВЦ является высокий уровень шума, создаваемый печатными устройствами, оборудованием для кондиционирования воздуха, вентиляторами систем охлаждения в самих ЭВМ.

Для решения вопросов о необходимости и целесообразности снижения шума необходимо знать уровни шума на рабочем месте оператора.

Уровень шума, возникающий от нескольких некогерентных источников, работающих одновременно, подсчитывается на основании принципа энергетического суммирования излучений отдельных источников:

∑L = 10·lg (Li∙n),                                                                                     (4.10)

где    Li – уровень звукового давления i-го источника шума;

n – количество источников шума.

Полученные результаты расчета сравнивается с допустимым значением уровня шума для данного рабочего места. Если результаты расчета выше допустимого значения уровня шума, то необходимы специальные меры по снижению шума. К ним относятся: облицовка стен и потолка зала звукопоглощающими материалами, снижение шума в источнике, правильная планировка оборудования и рациональная организация рабочего места оператора.

Уровни звукового давления источников шума, действующих на оператора на его рабочем месте представлены в табл. 4.6.

Таблица 4.6 - Уровни звукового давления различных источников

Источник шума Уровень шума, дБ
Жесткий диск 40
Вентилятор 45
Монитор 17
Клавиатура 10
Принтер 45
Сканер 42

Обычно рабочее место оператора оснащено следующим оборудованием: винчестер в системном блоке, вентилятор(ы) систем охлаждения ПК, монитор, клавиатура, принтер и сканер.

Подставив значения уровня звукового давления для каждого вида оборудования в формулу (4.4) , получим:

∑L=10·lg(104+104,5+101,7+101+104,5+104,2)=49,5 дБ

Полученное значение не превышает допустимый уровень шума для рабочего места оператора, равный 65 дБ (ГОСТ 12.1.003-83). И если учесть, что вряд ли такие периферийные устройства как сканер и принтер будут использоваться одновременно, то эта цифра будет еще ниже. Кроме того при работе принтера непосредственное присутствие оператора необязательно, т.к. принтер снабжен механизмом автоподачи листов.

В данном разделе дипломной работы были изложены требования к рабочему месту инженера - программиста. Созданные условия должны обеспечивать комфортную работу. На основании изученной литературы по данной проблеме, были указаны оптимальные размеры рабочего стола и кресла, рабочей поверхности, а также проведен выбор системы и расчет оптимального освещения производственного помещения, произведен расчет рационального кондиционирования помещения, а также расчет уровня шума на рабочем месте. Соблюдение условий, определяющих оптимальную организацию рабочего места инженера - программиста, позволит сохранить хорошую работоспособность в течение всего рабочего дня, повысит как в количественном, так и в качественном отношениях производительность труда программиста, что в свою очередь будет способствовать быстрейшей разработке и отладке программного продукта.


ВЫВОДЫ

В процессе выполнения дипломной работы было разработано устройство светодиодной матрицы на микроконтроллере. Актуальностью данной темы являлось то, что в процессе проектирования ставилась задача спроектировать устройство, которое не имело бы аналогов и отличалось новизной, простотой и дешевизной.

Было разработано устройство, которое превосходит по выполняемым функциям устройства-аналоги.

В похожих конструкциях наблюдается отсутствие нескольких функций нашего устройства, а главным недостатком нашего устройства является отсутствие внутреннего источника питания, а это в свою очередь вызовет еще повышение стоимости устройства.

В процессе разработки было изучено множество отечественных и зарубежных источников, информация сети Интернет, технические характеристики и принцип действия устройств-аналогов.

Цена рассматривалась при единичном исполнении, цены на комплектующие брались согласно цен интернет-магазинов при покупке одного комплекта, естественно, при оптовом приобретении материалов и комплектующих цена будет ниже.

В процессе разработки был составлен алгоритм управления, программное обеспечение микроконтроллера, произведен расчет элементов электрической схемы, согласно справочных данных.

В разделе «Охрана труда» был произведен расчет освещения помещения, в котором производятся работы на компьютере, рассчитано кондиционирование помещения, рассчитан уровень шума, который образуется при использовании оргтехники.


ПЕРЕЧЕНЬ ССЫЛОК

1. "Dimmable Fluorescent Ballast" – User Guide, 10/07, Atmel Corporation, http://www.atmel.com/dyn/resources/prod_documents/doc7597.pdf

2. ГОСТ13109-97. Нормы качества электрической энергии в системах электроснабжения общего назначения.

3. G. Howell "Five questions about resistors" // EDN, 9/28/2006, http://www.edn.com/contents/images/6372835.pdf

4. П. Хоровиц, У. Хилл "Искусство схемотехники" – Изд. 6-е, М.: Мир, 2003.

5. J. Israelsohn "Miller on edge: The role of Miller capacitance in nonlinear circuits" // EDN, 3/29/2007 http://www.edn.com/contents/images/6426883.pdf

6. C. Hillman "Common mistakes in electronic design" // EDN, 12/14/2007 http://www.edn.com/contents/images/6512156.pdf

7. "The Do's and Don'ts of Using MOS-Gated Transistors" – Application Note AN-936, International Rectifier, http://www.irf.com/technical-info/appnotes/an-936.pdf

8."TVS/Zener Theory and Design Considerations" – Handbook, Rev. 0, Jun−2005, On Semiconductor, http://www.onsemi.com/pub/Collateral/HBD854-D.PDF

9. "Characterization and Calibration of the ADC on an AVR" – Application Note AVR120, 02/06, Atmel Corporation, http://www.atmel.com/dyn/resources/prod_documents/doc2559.pdf

10."The Eye’s Response to Light" – Lutron Electronics, 8/97, http://www.lutron.com/product_technical/pdf/360-408.pdf

11."Four Great Reasons to Dim" – Lutron Electronics, http:// www.lutron.com/dim.htm

12."Frequently asked questions about dimmers" // http://www .lutron.com/product_technical/faq.asp

13."LEDs move from indication to illumination" // EDN, 8/2/2001 http://www.edn.com/contents/images/149134.pdf

14. Л. Н. Кечиев, Е. Д. Пожидаев "Защита электронных средств от воздействия статического электричества" – М.: ИД "Технологии", 2005.

15. Жидецкий В.Ц., Джигирей В.С., Мельников А.В. Основы охраны труда: Учебник – Львов, Афиша, 2008 – 351с.

16. Денисенко Г.Ф. Охрана труда: Учебн.пособие – М., Высшая школа, 1989 – 319с.

17. Самгин Э.Б. Освещение рабочих мест. – М.: МИРЭА, 1989. – 186с.

18. Справочная книга для проектирования электрического освещения. / Под ред. Г.Б. Кнорринга. – Л.: Энергия, 1976.

19. Борьба с шумом на производстве: Справочник / Е.Я. Юдин, Л.А. Борисов;

Под общ. ред. Е.Я. Юдина – М.: Машиностроение, 1985. – 400с., ил.

20. Зинченко В.П. Основы эргономики. – М.: МГУ, 1979. – 179с.

21.Методичні вказівки до виконання дипломної роботи для учнів спеціальності «Оператор комп’ютерного набору; оператор комп’ютерної верстки»/ Упоряд.: Д.О. Дяченко, К.О. Ізмалкова, О.Г. Меркулова. – Сєверодонецьк: СВПУ, 2007. – 40 с.

22. Заец Н.И. Радиолюбительские конструкции на PIC- микроконтроллерах. Книга 1 – М., Солон-ПРЕСС, 2001- 368с.

23. Заец Н.И. Радиолюбительские конструкции на PIC- микроконтроллерах. Книга 2 – М., Солон-ПРЕСС, 2003- 296 с.

24. Заец Н.И. , Сергеев В.С.Радиолюбительские конструкции на микроконтроллерах. Книга 4 – М., Солон-ПРЕСС, 2009 - 412с.


ПРИЛОЖЕНИЯ

ПРИЛОЖЕНИЕ А

Обзор семейств микроконтроллеров PIC

Из всего набора выпускаемых сегодня микроконтроллеров PIC выделяются две наиболее развитые и популярные серии PIC16 и PIC18.
 Серия среднего уровня PIC16. Названия микроконтроллеров этой серии начинаются с префикса PIC16. Различные типы микроконтроллеров PIC16 могут исполняться в корпусах, имеющих 14, 18, 28, 40 и более выводов. Это наиболее типичный ряд для PIC16/PIC18 в DIP корпусах. К серии микроконтроллеров PIC16 можно смело отнести микроконтроллеры PIC12 - это те же PIC16, только исполнены в 8 выводном корпусе.

Семейство PIC16 представляет множество недорогих, высокопроизводительных 8-разрялных микроконтроллеров, выполненных по КМОП технологии с очень малым потреблением энергии и полностью статической архитектурой. Представление о семействе можно получить, рассмотрев основу системы обозначений и примеры обозначений микроконтроллеров.

После префикса PIC16, PIC12 или PIC18 следуют обозначение типа (технологии) памяти программ.

Варианты:

- CR - масочное ПЗУ; программируется один раз при изготовлении МК.

- C - EPROM; программируется электрическим способом. Может быть запрограммирована только один раз.

- F - FLASH ПЗУ (EEPROM); запрограммированная память может быть стерта "электрически" и вновь запрограммирована тысячи раз. FLASH микроконтроллеры обычно имеют также и DATA EEPROM - FLASH память данных (не путать с ОЗУ!) для хранения "неоперативных данных", таких, как настроечные параметры, изменяемые константы, тексты.

- JW - ПЗУ стираемое ультрафиолетовым облучением. Корпус таких МК имеет специальное окошко из кварца (WINDOWED).

- Обозначение типа ПЗУ может начинаться с буквы L, которая означает Low Voltage - расширенный вниз, как правило, до 2В диапазон напряжения питания и, как следствие, пониженная максимальная частота.

- LC или LF - EEPROM или FLASH ПЗУ соответственно, допускающее работу при пониженном (LOW) напряжении питания.

Изучение семейства PIC16 целесообразно начинать с наиболее перспективных его представителей. Если не вдаваться в тонкости, то можно утверждать, что масочные, EPROM и FLASH микроконтроллеры практически полностью совместимы по корпусам и выводам, имеют одинаковую архитектуру, электрические и временные характеристики, набор команд и порядок их исполнения. С началом массового выпуска FLASH микроконтроллеров и снижения цен на них, у однократно программируемых микроконтроллеров стало меньше шансов на популярность среди начинающих специалистов. Учитывая это, есть смысл основное внимание уделить именно FLASH микроконтроллерам.После префикса PIC16, PIC12 или PIC18 и обозначения типа (технологии) памяти программ следует комбинация из трех цифр, она определяет собственно тип МК.

Здесь под типом МК понимается комбинация дополнительных свойств МК, как-то: число портов ввода-вывода, объем памяти программ (ПП), памяти данных (ПД), FLASH ПЗУ данных, а главное набор периферийных функций и, следовательно, дополнительных возможностей микроконтроллера.

Например.

- PIC12F629 8-выводной МК с аналоговым компаратором.

- PIC12F675 8- выводной МК с аналоговым компаратором и 10-разрядным АЦП.


ПРИЛОЖЕНИЕ А (Продолжение)

- PIC16F628 18-выводной МК с аналоговыми компараторами, модулем сравнения/захвата/ШИМ и модулем USART (последовательная передача данных по протоколу RS-232C). Стандартный диапазон напряжения питания 3…5,5В, во всем диапазоне тактовой частоты 0…20МГц.

- PIC16LF628 обратите внимание: тип памяти LF, это значит, что МК имеет расширенный диапазон напряжения питания 2…5,5В, при тактовой частоте не более 4МГц, и стандартный диапазон напряжения питания 3…5,5В, при тактовой частоте более 4МГц.

- PIC16F628A буква в конце обозначения типа микроконтроллера указывает на то, что это вариант PIC16F628 с внесенным незначительным изменением архитектуры или технологии, или устраненным замечанием. Если будет еще изменение, то появится вариант PIC16F628В.

После типа МК в его маркировке следуют код -X/XX, где X - температурный диапазон:

-I - индустриальный -40°С…+85°С;

-E - расширенный -40°С…+125°С.

XX - тип корпуса:

- P - пластиковый DIP;

- SO-пластиковый планарный корпус SOIC;

- SS- пластиковый планарный корпус SSOP;

- JW-DIP корпус с окном для УФ стирания;

- И др.

В виде числа 04 или 20 может указываться частота под которую оптимизирован генератор МК.

Все микроконтроллеры семейства имеют одинаковое ядро, систему команд, организацию памяти программ и памяти данных и порядок взаимодействия ядра с памятью и периферийными устройствами. А отличаются друг от друга микроконтроллеры тем, что каждый из них оптимизирован под выполнение определенного круга задач и может отличаться от собратьев количеством выводов, объемом памяти программ и памяти данных, набором периферийных устройств и ценой.
Все МК имеют

- Диапазон тактовой частоты 0...20МГц.

- Одинаковое ядро.

- 35 простых инструкций.

- Режим энергосбережения SLEEP.

- POR (Power On Reset) - сброс по подаче питания (при достижении Vddmin).

-OST (Oscillator Start-up Timer) - удерживает МК в состоянии сброса на время запуска и стабилизации тактового кварцевого или кристаллического генератора.

- BOD или BOR (Brown-out Detect или Brown-out Reset) - детектор снижения Vdd с настраиваемым порогом.

- WDT (Watchdog Timer) - сторожевой таймер с настраиваемым периодом.

- Микроконтроллеры PIC16F873…877 могут программировать свою память программ в процессе работы.

- Все 8-ми и 14-ти выводные МК, кроме режимов генератора, типичных для всех PIC16, имеют встроенный стабильный (1%) тактовый RC генератор.


ПРИЛОЖЕНИЕ А (Продолжение)

Таблица популярных представителей PIC16

Кол-во выводов/портов ПП, слов ОЗУ, Кбайт FLASH ПД, Байт Таймеры Встроенные периферийные модули
PIC12F629 8/6 64 128 TMR0, TMR1 аналоговый компаратор
PIC12F675 8/6 64 128 TMR0, TMR1 аналоговый компаратор, 10-разр. АЦП
PIC12F683 8/6 128 256 TMR0, TMR1, TMR2 аналоговый компаратор, 10-разр. АЦП, модуль захвата/сравнения/ШИМ
PIC12F630 14/12 64 128 TMR0, TMR1 аналоговый компаратор
PIC16F676 14/12 64 128 TMR0, TMR1 аналоговый компаратор, 10-разр. АЦП
PIC16F684 14/12 128 256 TMR0, TMR1, TMR2 аналоговый компаратор, 10-разр. АЦП, модуль захвата/сравнения/ШИМ
PIC16F688 14/12 256 256 TMR0, TMR1, TMR2 аналоговый компаратор, 10-разр. АЦП, модуль захвата/сравнения/ШИМ, USART
PIC16F628A 18/16 224 128 TMR0, TMR1, TMR2 аналоговый компаратор, 10-разр. АЦП, модуль захвата/сравнения/ШИМ, USART/SC
PIC16F648A 18/16 256 256 TMR0, TMR1, TMR2 аналоговый компаратор, 10-разр. АЦП, модуль захвата/сравнения/ШИМ, USART/SC
PIC16F84A 18/13 68 64 TMR0 популярный, устарел

ПРИЛОЖЕНИЕ Б

Программа для «Эффект -1» светодиодной матрицы

;------------------------------------------------------------------------------------------------------------------------------

 list p = 16f628a

__config 03F30h ; 11111100110001 - защиты памяти нет, низковольтное программирование запрещено, сброс по питанию запрещен,

; RA5/-MCLR работает как -MCLR, таймер вкл-я питания включен, сторожевой таймер - выключен, генератор - внутренний

;********* Переменные ************************************

 CBLOCK 0x20 ;Начальный адрес блока констант

 T_ext ;таймер внешнего цикла

 T_int ;таймер внутреннего цикла

 Strok ;байт строк, младшие 5 бит этого байта - состояния строк (1 - вкл, 0 - выкл)

 Stolb ;байт столбцов, младшие 5 бит этого байта - состояния столбцов (1 - вкл, 0 - выкл)

 ENDC ;

;******** Константы ***************************************

Cr1 equ .200 ;длительность 1-й задержки 200 мкс

Cr2 equ .150 ;длительность 2-й задержки 150х200 мкс

Status equ 03h ; Регистр выбора банка

TrisA equ 05h ; Регистр выбора направления работы выводов порта А

TrisB equ 06h ; Регистр выбора направления работы выводов порта В

PortA equ 05h ; Регистр управления защелками порта А

PortB equ 06h ; Регистр управления защелками порта В

Cmcon equ 1Fh ; вкл/выкл компараторов

F equ 1 ; Результат направить в регистр.

;********************************************************

; Пусть у нас RA1, RA2, RA3, RA6, RA7 - выходы строки

; RB3, RB4, RB5, RB6, RB7 - выходы столбцы

;********************************************************

;

 org 0

;******** Установка направления работы порта A: RA7, RA6 - выходы, RA5, RA4, RA3 - входы, RA2, RA1, RA0 - выходы

;******** Установка направления работы порта B: RB7, RB6, RB5, RB4, RB3, RB2 - выходы, RB1, RB0 - входы ***

start movlw .7 ;

 movwf Cmcon ; выключить компараторы и включить эти пины как цифровые входы/выходы

 clrf PortA ; инициализация защелок порта А

 movlw .248 ; 11111000

 movwf PortB ; инициализация защелок порта В

 bsf Status,5 ; Перейти в 1-й банк (установить в 1 5-й бит регистра Status).

 movlw .49 ; Записать конфигурацию порта A в аккумулятор (W). .49=00110001

 movwf TrisA ; Скопировать конфигурацию порта А из W в регистр TrisA

 movlw .7 ; Записать конфигурацию порта В в аккумулятор (W). .3=00000111

 movwf TrisB ; Скопировать конфигурацию порта B из W в регистр TrisB.

 bcf Status,5 ; Перейти в 0-й банк (установить в 0 5-й бит регистра Status)

;******** ЭФФЕКТ 1 ********************************************************************************

eff1 movlw b'00011111' ; включаем все строки

 movwf Strok

 movlw b'00000001' ; и первый столбец

 movwf Stolb

;-------- Включаем светодиоды -----------------

cikl_stolb1 call in_ports

 call pause

 rlf Stolb,1 ; переходим на следующий столбец

 btfss Stolb,5 ; если 5 бит stolb = 1, то следующая команда пропускается

 goto cikl_stolb1

;----------------------------------------------

 movlw b'00000001' ; включаем первую строку

 movwf Strok

 movlw b'00011111' ; и все столбцы

 movwf Stolb

;-------- Включаем светодиоды -----------------

cikl_strok1 call in_ports

 call pause

 rlf Strok,1 ; переходим на следующую строку

 btfss Strok,5 ; если 5 бит stolb = 1, то следующая команда пропускается

 goto cikl_strok1

 goto eff1

;******************************************************************************************************

;******** Процедура включения строк и столбцов

in_ports btfsc Stolb,0 ; если 0-й бит stolb = 0, то следующая команда пропускается

 bcf PortB,3 ; RB3=0 - вкл.1 столбец

 btfss Stolb,0 ; если 0-й бит stolb =1, то следующая команда пропускается

 bsf PortB,3 ; RB3=1 - выкл. 1 столбец

;--------------------------------------

 btfsc Stolb,1 ; если 1-й бит stolb = 0, то следующая команда пропускается

 bcf PortB,4 ; RB4=0 - вкл. 2 столбец

 btfss Stolb,1 ; если 1-й бит stolb =1, то следующая команда пропускается

 bsf PortB,4 ; RB4=1 - выкл. 2 столбец

;-------------------------------------

 btfsc Stolb,2 ; если 2-й бит stolb = 0, то следующая команда пропускается

 bcf PortB,5 ; RB5=0 - вкл. 3 столбец

 btfss Stolb,2 ; если 2-й бит stolb =1, то следующая команда пропускается

 bsf PortB,5 ; RB5=1 - выкл. 3 столбец

;--------------------------------------

 btfsc Stolb,3 ; если 3-й бит stolb = 0, то следующая команда пропускается

 bcf PortB,6 ; RB6=0 - вкл. 4 столбец

 btfss Stolb,3 ; если 3-й бит stolb =1, то следующая команда пропускается

 bsf PortB,6 ; RB6=1 - выкл. 4 столбец

;--------------------------------------

 btfsc Stolb,4 ; если 4-й бит stolb = 0, то следующая команда пропускается

 bcf PortB,7 ; RB7=0 - вкл. 5 столбец

 btfss Stolb,4 ; если 4-й бит stolb =1, то следующая команда пропускается

 bsf PortB,7 ; RB7=1 - выкл. 5 столбец

;-------- Строки -----------------------------------------------------------

 btfsc Strok,0 ; если 0-й бит strok = 0, то следующая команда пропускается

 bsf PortA,2 ; RA2=1 - вкл.1 строку

 btfss Strok,0 ; если 0-й бит strok =1, то следующая команда пропускается

 bcf PortA,2 ; RA2=0 - выкл.1 строку

;--------------------------------------

 btfsc Strok,1 ; если 1-й бит strok = 0, то следующая команда пропускается

 bsf PortA,3 ; RA3=1 - вкл.2 строку

 btfss Strok,1 ; если 1-й бит strok =1, то следующая команда пропускается

 bcf PortA,3 ; RA3=0 - выкл.2 строку

;--------------------------------------

 btfsc Strok,2 ; если 2-й бит strok = 0, то следующая команда пропускается

 bsf PortA,1 ; RA1=1 - вкл.3 строку

 btfss Strok,2 ; если 2-й бит strok =1, то следующая команда пропускается

bcf PortA,1 ; RA1=0 - выкл.3 строку

;--------------------------------------

 btfsc Strok,3 ; если 3-й бит strok = 0, то следующая команда пропускается

 bsf PortA,7 ; RA7=1 - вкл.4 строку

 btfss Strok,3 ; если 3-й бит strok =1, то следующая команда пропускается

 bcf PortA,7 ; RA7=0 - выкл.4 столбец

;--------------------------------------

 btfsc Strok,4 ; если 4-й бит strok = 0, то следующая команда пропускается

 bsf PortA,6 ; RA6=1 - вкл.5 строку

 btfss Strok,4 ; если 4-й бит strok =1, то следующая команда пропускается

 bcf PortA,6 ; RA6=0 - выкл.5 строку

 return

;****************************************************************************************************

;******** Процедура Паузы ****************************************************************************

pause movlw Cr2

 movwf T_ext ; загрузить значение для внешнего цикла

cikl2 movlw Cr1

 movwf T_int ; загрузить значение для внутреннего цикла

cikl3 decfsz T_int,1 ; если T_int = 0, следующая команда пропускается

 goto cikl3 ; внутренний цикл

 decfsz T_ext,1 ; если T_ext = 0, следующая команда пропускается

 goto cikl2 ; внешний цикл

 return

 end


ПРИЛОЖЕНИЕ В

Программа для создания эффекта «Вращающийся крест»

;--------------------------------------------------------------------------------------------------------------------------

 list p = 16f628a

 __config 03F30h ; 11111100110001 - защиты памяти нет, низковольтное программирование запрещено,

 ; сброс по питанию запрещен, RA5/-MCLR работает как -MCLR, таймер вкл-я питания включен,

 ; сторожевой таймер - выключен, генератор - внутренний

;********* Переменные ************************************

 CBLOCK 0x20 ; Начальный адрес блока

 Temp ; обменник , 20h

 Adr ; адрес фрейма в EEPROM или ОЗУ , 21h

 T_int ; счетчик для паузы , 22h

 Strok ; байт текущего состояния строк , 23h

 Stolb ; байт текущего состояния столбцов , 24h

 Fr_sch ; счетчик фреймов , 25h

 Fr_cikl ; счетчик показов одного фрейма , 26h

 Fr_kol ; количество фреймов , 27h

 Fr_cikl_kol ; количество показов одного фрейма , 28h

;----- Свободная память ОЗУ в нулевом банке от 29h до 7Fh ---------------------------------

;----- Из этих 86 своб-х байт в первые 30 мы будем грузить наши фреймы ------------------

 ENDC ;

;******** Константы ***************************************

Status equ 03h ; Регистр выбора банка

TrisA equ 05h ; Регистр выбора направления работы выводов порта А

TrisB equ 06h ; Регистр выбора направления работы выводов порта В

PortA equ 05h ; Регистр управления защелками порта А

PortB equ 06h ; Регистр управления защелками порта В

Cmcon equ 1Fh ; вкл/выкл компараторов

F equ 1 ; Результат направить в регистр.

FSR equ 04h ; регистр адреса при косвенной адресации

INDF equ 0h ; регистр косвенной адресации

Cr equ .50 ; значение счетчика для паузы

EEADR equ 1Bh ; адрес EEPROM

EECON1 equ 1Ch ; управляющий регистр для работы c EEPROM

EEDATA equ 1Ah ; регистр данных из/в EEPROM

;********************************************************

; Пусть у нас RA1, RA2, RA3, RA6, RA7 - выход строки

; RB3, RB4, RB5, RB6, RB7 - выходы столбцы

;********************************************************

 org 0

;******** Установка направл-я работы порта A: RA7, RA6 - выходы, RA5, RA4, RA3 - входы, RA2, RA1, RA0 - выходы

;******** Установка направл-я работы порта B: RB7, RB6, RB5, RB4, RB3, RB2 - выходы, RB1, RB0 - входы *********

start movlw .7 ;

 movwf Cmcon ; выключить компараторы и включить эти пины как цифровые входы/выходы

 clrf PortA ; инициализация защелок порта А

 movlw .248 ; 11111000

 movwf PortB ; инициализация защелок порта В

 bsf Status,5 ; Перейти в 1-й банк (установить в 1 5-й бит регистра Status).

 movlw .49 ; Записать конфигурацию порта A в аккумулятор (W). .49=00110001

 movwf TrisA ; Скопировать конфигурацию порта А из W в регистр TrisA

 movlw .7 ; Записать конфигурацию порта В в аккумулятор (W). .3=00000111

 movwf TrisB ; Скопировать конфигурацию порта B из W в регистр TrisB.

 bcf Status,0 ; Сбросить флаг переноса (С) (после старта он может быть любым)

;******** Загрузка данных для фреймов из EEPROM в ОЗУ *******************************************************

;--------- Сначала прочитаем первый байт - узнаем, сколько фреймов надо считать ------------------

 movlw .0 ; банк менять не надо, мы уже в первом банке

 movwf EEADR ; установить адрес для чтения из EEPROM

bsf EECON1,0 ; чтение

 movf EEDATA,0 ; запись прочитанного байта в аккумулятор

 bcf Status,5 ; Перейти в 0-й банк (установить в 0 5-й бит регистра Status)

 movwf Fr_kol ; сохранение прочитанного байта в Fr_kol

;-------- Fr_kol*5+1 - узнаем сколько байт надо считать --------------------------

 rlf Fr_kol,0 ; умножаем на 2

 movwf Temp

 rlf Temp,0 ; еще на 2 (после этой команды в аккумуляторе Fr_kol*4)

 addwf Fr_kol,0 ; после этого в аккумуляторе Fr_kol*5

 movwf Temp ; сохраняем это значение в Temp

 incf Temp,1 ; теперь Temp=Fr_kol*5+

;---------- Считываем Fr_kol*5+1 байт ----------------------------------------------

 movlw 28h ; считанные данные сохраняем начиная с этого адреса (первый байт в Fr_cikl_kol и далее...)

 movwf FSR ; адрес для косвенной адресации

 movlw .1 ; начинаем читать EEPR

 movwf Adr ; с адреса 1

zagruzka bsf Status,5 ; перейти в первый банк

 movwf EEADR ; загружаем из аккумулятора адрес для чтения из EEPROM

 bsf EECON1,0 ; чтение

 movf EEDATA,0 ; запись прочитанного байта в аккумулятор

 bcf Status,5 ; Перейти в 0-й банк (установить в 0 5-й бит регистра Status)

 movwf INDF ; сохраняем считанный байт по адресу, записанному в FSR

 incf FSR,1 ; увеличиваем адрес ОЗУ

 incf Adr,1 ; увеличиваем адрес EEPROM

 movf Adr,0 ; загружаем адрес EEPROM в аккумулятор

 decfsz Temp,1 ; если считали все байты - следующая команда пропускается

 goto zagruzka

;**************************************************************************************************

;******** Основная прога **************************************************************************

effect movlw 29h ; загружаем адрес начала первого фрейма в ОЗУ (2h) в переменную Adr

 movwf Adr ;

 movf Fr_kol,0 ; загружаем кол-во фреймов

 movwf Fr_sch ; в счетчик фреймов

show movf Fr_cikl_kol,0 ; загружаем кол-во показов одного фрейма

 movwf Fr_cikl ; в счетчик показов фрейма

povtor call show_frame ; показываем один фрейм Fr_cikl кол-во раз

 decfsz Fr_cikl,1

 goto povtor

 movlw .5 ; переходим к следующему фрейму (сдвигаем Adr на 5)

 addwf Adr,1

 decfsz Fr_sch,1 ; уменьшаем счетчик фреймов для показа

 goto show ; если не все наборы показаны, то переходим к следующему

 goto effect ; если все, то начинаем сначала

;*********************************************************************************************

;********* Процедура показа одного фрейма ****************************************************

show_frame movf Adr,0 ; загружаем в FSR адрес начала фрейма

 movwf FSR

 movlw b'00000001' ; начинаем с первой строки

 movwf Strok

show_next movf INDF,0 ; загружаем набор столбцов для выбранной строки

 movwf Stolb ; аккумулятор в stolb

 call in_ports

 call pause

 call erase

 incf FSR,1 ; следующий набор строк

 rlf Strok,1 ; переходим на следующую строку

btfss Strok,5 ; если 5-й бит strok = 1, то следующая команда пропускается

 goto show_next

 return

;******** Процедура включения строк и столбцов в соответствии с байтами Strok и Stolb ************

in_ports btfsc Stolb,0 ; если 0-й бит stolb = 0, то следующая команда пропускается

 bcf PortB,3 ; RB3=0 - вкл.1 столбец

 btfss Stolb,0 ; если 0-й бит stolb =1, то следующая команда пропускается

 bsf PortB,3 ; RB3=1 - выкл. 1 столбец

;--------------------------------------

 btfsc Stolb,1 ; если 1-й бит stolb = 0, то следующая команда пропускается

 bcf PortB,4 ; RB4=0 - вкл. 2 столбец

 btfss Stolb,1 ; если 1-й бит stolb =1, то следующая команда пропускается

 bsf PortB,4 ; RB4=1 - выкл. 2 столбе

;--------------------------------------

 btfsc Stolb,2 ; если 2-й бит stolb = 0, то следующая команда пропускается

 bcf PortB,5 ; RB5=0 - вкл. 3 столбец

 btfss Stolb,2 ; если 2-й бит stolb =1, то следующая команда пропускается

 bsf PortB,5 ; RB5=1 - выкл. 3 столбец

;--------------------------------------

 btfsc Stolb,3 ; если 3-й бит stolb = 0, то следующая команда пропускается

 bcf PortB,6 ; RB6=0 - вкл. 4 столбец

 btfss Stolb,3 ; если 3-й бит stolb =1, то следующая команда пропускается

 bsf PortB,6 ; RB6=1 - выкл. 4 столбец

;--------------------------------------

 btfsc Stolb,4 ; если 4-й бит stolb = 0, то следующая команда пропускается

 bcf PortB,7 ; RB7=0 - вкл. 5 столбец

 btfss Stolb,4 ; если 4-й бит stolb =1, то следующая команда пропускается

 bsf PortB,7 ; RB7=1 - выкл. 5 столбец

;-------- Строки -----------------------------------------------------------

 btfsc Strok,0 ; если 0-й бит strok = 0, то следующая команда пропускается

 bsf PortA,2 ; RA2=1 - вкл.1 строку

 btfss Strok,0 ; если 0-й бит strok =1, то следующая команда пропускается

 bcf PortA,2 ; RA2=0 - выкл.1 строку

;--------------------------------------

 btfsc Strok,1 ; если 1-й бит strok = 0, то следующая команда пропускается

 bsf PortA,3 ; RA3=1 - вкл.2 строку

 btfss Strok,1 ; если 1-й бит strok =1, то следующая команда пропускается

 bcf PortA,3 ; RA3=0 - выкл.2 строку

;--------------------------------------

 btfsc Strok,2 ; если 2-й бит strok = 0, то следующая команда пропускается

 bsf PortA,1 ; RA1=1 - вкл.3 строку

 btfss Strok,2 ; если 2-й бит strok =1, то следующая команда пропускается

 bcf PortA,1 ; RA1=0 - выкл.3 строку

;--------------------------------------

 btfsc Strok,3 ; если 3-й бит strok = 0, то следующая команда пропускается

 bsf PortA,7 ; RA7=1 - вкл.4 строку

 btfss Strok,3 ; если 3-й бит strok =1, то следующая команда пропускается

 bcf PortA,7 ; RA7=0 - выкл.4 столбец

;--------------------------------------

 btfsc Strok,4 ; если 4-й бит strok = 0, то следующая команда пропускается

 bsf PortA,6 ; RA6=1 - вкл.5 строку

 btfss Strok,4 ; если 4-й бит strok =1, то следующая команда пропускается

 bcf PortA,6 ; RA6=0 - выкл.5 строку

 return

;****** Пауза ******************************************************

pause movlw Cr

 movwf T_int ; загрузить кол-во циклов задержки

cikl3 decfsz T_int,1 ; если T_int = 0, следующая комада пропускается

 goto cikl3 ; внутренний цикл

 return

;****** Гашение всех светодиодов ***********************************

erase movf Strok,0

 movwf Temp

 movlw .0

 movwf Stolb

 movwf Strok

 call in_ports

 movf Temp,0

 movwf Strok

 return

;*******************************************************************************************************

 org 2100h ; EEPROM память

 de .6, .40 ; кол-во фреймов и кол-во показов одного фрейма (скорость)

 de b'00000100', b'00000100', b'00011111', b'00000100', b'00000100' ; первый фрейм

 de b'00001000', b'00000101', b'00001110', b'00010100', b'00000010' ; второй фрейм

 de b'00001000', b'00001011', b'00000100', b'00011010', b'00000010' ; третий фрейм

 de b'00010001', b'00001010', b'00000100', b'00001010', b'00010001' ; четвертый фрейм

 de b'00000010', b'00011010', b'00000100', b'00001011', b'00001000' ; пятый фрейм

 de b'00000010', b'00010100', b'00001110', b'00000101', b'00001000' ; шестой фрейм

 end

;--------------------------------------------------------------------------------------------------------------------------


ПРИЛОЖЕНИЕ Д

Схема электрическая принципиальная светодиодной матрицы


мвмв

Наш опрос
Как Вы оцениваете работу нашего сайта?
Отлично
Не помог
Реклама
 
Авторское мнение может не совпадать с мнением редакции портала
Перепечатка материалов без ссылки на наш сайт запрещена